7 nm process

From Wikipedia, the free encyclopedia

In semiconductor manufacturing, the International Technology Roadmap for Semiconductors defines the nm process as the MOSFET technology node following the 10 nm node. It is based on FinFET (fin field-effect transistor) technology, a type of multi-gate MOSFET technology.

Taiwan Semiconductor Manufacturing Company (TSMC) began production of 256 Mbit SRAM memory chips using a 7 nm process called N7 in June 2016,[1] before Samsung began mass production of their 7 nm process called 7LPP devices in 2018.[2] The first mainstream 7 nm mobile processor intended for mass market use, the Apple A12 Bionic, was released at Apple's September 2018 event.[3] Although Huawei announced its own 7 nm processor before the Apple A12 Bionic, the Kirin 980 on August 31, 2018, the Apple A12 Bionic was released for public, mass market use to consumers before the Kirin 980. Both chips are manufactured by TSMC.[4]

AMD has released their "Rome" (EPYC 2) processors for servers and datacenters, which are based on TSMC's N7  node[5] and feature up to 64 cores and 128 threads. They have also released their "Matisse" consumer desktop processors with up to 16 cores and 32 threads. However, the I/O die on the Rome multi-chip module (MCM) is fabricated with the GlobalFoundries' 14 nm (14 hp) process, while the Matisse's I/O die uses the GlobalFoundries' 12 nm (12LP+) process. The Radeon RX 5000 series is also based on TSMC's N7 process.

Since 2009, however, "node" has become a commercial name for marketing purposes[6] that indicates new generations of process technologies, without any relation to gate length, metal pitch or gate pitch.[7][8][9] TSMC and Samsung's 10 nm (10 LPE) processes are somewhere between Intel's 14 nm and 10 nm processes in transistor density. The transistor density (number of transistors per square millimeter) is more important than transistor size, since smaller transistors no longer necessarily mean improved performance, or an increase in the number of transistors.

History[]

Technology demos[]

7 nm scale MOSFETs were first demonstrated by researchers in the early 2000s. In 2002, an IBM research team including Bruce Doris, Omer Dokumaci, Meikei Ieong and Anda Mocuta fabricated a 6 nm silicon-on-insulator (SOI) MOSFET.[10][11] In 2003, NEC's research team led by Hitoshi Wakabayashi and Shigeharu Yamagami fabricated a 5 nm MOSFET.[12][13]

In July 2015, IBM announced that they had built the first functional transistors with 7 nm technology, using a silicon-germanium process.[14][15][16][17]

In June 2016, TSMC had produced 256 Mbit SRAM memory cells at their 7 nm process,[1] with a cell area of 0.027 square micrometers (550 F2)[spelling?] with reasonable risk production yields.[18]

Expected commercialization and technologies[]

In April 2016, TSMC announced that 7 nm trial production would begin in the first half of 2017.[19] In April 2017, TSMC began risk production of 256 Mbit SRAM memory chips using a 7 nm (N7FF+) process,[1] with extreme ultraviolet lithography (EUV).[20] TSMC's 7 nm production plans, as of early 2017, were to use deep ultraviolet (DUV) immersion lithography initially on this process node (N7FF), and transition from risk to commercial volume manufacturing from Q2 2017 to Q2 2018. Also, their later generation 7 nm (N7FF+) production is planned to use EUV multiple patterning and to have an estimated transition from risk to volume manufacturing between 2018 and 2019.[21]

In September 2016, GlobalFoundries announced trial production in the second half of 2017 and risk production in early 2018, with test chips already running.[22]

In February 2017, Intel announced Fab 42 in Chandler, Arizona will produce microprocessors using 7 nm (Intel 4[23]) manufacturing process.[24] The company has not published any expected values for feature lengths at this process node.

In April 2018, TSMC announced volume production of 7 nm (CLN7FF, N7) chips. In June 2018, the company announced mass production ramp up.[2]

In May 2018, Samsung announced production of 7 nm (7LPP) chips this year. ASML Holding NV is their main supplier of EUV lithography machines.[25]

In August 2018, GlobalFoundries announced it was stopping development of 7 nm chips, citing cost.[26]

On October 28, 2018, Samsung announced their second generation 7 nm process (7LPP) had entered risk production and should enter mass production in 2019.

On January 17, 2019, for the Q4 2018 earnings call, TSMC mentioned that different customers will have "different flavors" of second generation 7 nm.[27]

On April 16, 2019, TSMC announced their 6 nm process called (CLN6FF, N6), which is expected to be in mass products from 2021.[28] N6 uses EUVL in up to 5 layers, compared to up to 4 layers in their N7+ process.[29]

On July 28, 2019, TSMC announced their second gen 7 nm process called N7P, which is DUV-based like their N7 process.[30] Since N7P is fully IP-compatible with the original 7 nm, while N7+ (which uses EUV) is not, N7+ (announced earlier as '7 nm+') is a separate process from '7 nm'. N6 ('6 nm'), another EUV-based process, is planned to be released later than even TSMC's 5 nm (N5) process, with the IP-compatibility with N7. At their Q1 2019 earnings call, TSMC reiterated their Q4 2018 statement[27] that N7+ would generate less than $1 billion TWD in revenue in 2019.[31]

On October 5, 2019, AMD announced their EPYC Roadmap, featuring Milan chips built using TSMC's N7+ process.[32]

On October 7, 2019, TSMC announced they started delivering N7+ products to market in high volume.[33]

On July 26, 2021, Intel announced their new manufacturing roadmap, renaming all of their future process nodes.[23] Intel's 10 nm Enhanced SuperFin (10ESF), which is roughly equivalent to TSMC's N7 process, would now be known as Intel 7, while their earlier 7 nm process would now be called Intel 4.[23][34] This means that their first processors based on the new 7 nm would start shipping by the second half of 2021. Intel earlier announced that they would launch 7 nm processors in 2023.[35]

Technology commercialization[]

In June 2018, AMD announced 7 nm Radeon Instinct GPUs launching in the second half of 2018.[36] In August 2018, the company confirmed the release of the GPUs.[37]

On August 21, 2018, Huawei announced their HiSilicon Kirin 980 SoC to be used in their Huawei Mate 20 and Mate 20 Pro built using TSMC's 7 nm (N7) process.

On September 12, 2018, Apple announced their A12 Bionic chip used in iPhone XS and iPhone XR built using TSMC's 7 nm (N7) process. The A12 processor became the first 7 nm chip for mass market use as it released before the Huawei Mate 20.[38][39] On October 30, 2018, Apple announced their A12X Bionic chip used in iPad Pro built using TSMC's 7 nm (N7) process.[40]

On December 4, 2018, Qualcomm announced their Snapdragon 855 and 8cx built using TSMC's 7 nm (N7) process.[41] The first mass product featuring the Snapdragon 855 was the Lenovo Z5 Pro GT, which was announced on December 18, 2018.[42]

On May 29, 2019, MediaTek announced their 5G SoC built using a TSMC 7 nm process.[43]

On July 7, 2019, AMD officially launched their Ryzen 3000 series of central processing units, based on the TSMC 7 nm process and Zen 2 microarchitecture.

On August 6, 2019, Samsung announced their Exynos 9825 SoC, the first chip built using their 7LPP process. The Exynos 9825 is the first mass market chip built featuring EUVL.[44]

On September 6, 2019, Huawei announced their HiSilicon Kirin 990 4G & 990 5G SoCs, built using TSMC's N7 and N7+ processes.[45]

On September 10, 2019, Apple announced their A13 Bionic chip used in iPhone 11 and iPhone 11 Pro built using TSMC's 2nd gen N7P process.[46]

7 nm (N7 nodes) manufacturing made up 36% of TSMC's revenue in the second quarter of 2020.[47]

On August 17, 2020, IBM announced their Power10 processor.[46]

On July 26, 2021, Intel announced that their Alder Lake processors would be manufactured using their newly rebranded Intel 7 process, previously known as 10 nm Enhanced SuperFin.[23] These processors will be released in the second half of 2021. The company earlier confirmed a 7 nm, now called Intel 4,[23] microprocessor family called Meteor Lake to be released in 2023.[48][49]

7 nm patterning difficulties[]

Pitch splitting issues. Successive litho-etch patterning is subject to overlay errors as well as the CD errors from different exposures.
Spacer patterning issues. Spacer patterning has excellent CD control for features directly patterned by the spacer, but the spaces between spacers may be split into core and gap populations.
Overlay error impact on line cut. An overlay error on a cut hole exposure could distort the line ends (top) or infringe on an adjacent line (bottom).
Two-bar EUV patterning issues. In EUV lithography, a pair of features may not have both features in focus at the same time; one will have different size from the other, and both will shift differently through focus as well.
7 nm EUV stochastic failure probability. 7 nm features are expected to approach ~20 nm width. The probability of EUV stochastic failure is measurably high for the commonly applied dose of 30 mJ/cm2.

The 7 nm foundry node is expected to utilize any of or a combination of the following patterning technologies: pitch splitting, self-aligned patterning, and EUV lithography. Each of these technologies carries significant challenges in critical dimension (CD) control as well as pattern placement, all involving neighboring features.

Pitch splitting[]

Pitch splitting involves splitting features that are too close together onto different masks, which are exposed successively, followed by litho-etch processing. Due to the use of different exposures, there is always the risk of overlay error between the two exposures, as well as different CDs resulting from the different exposures.

Spacer patterning[]

Spacer patterning involves depositing a layer onto pre-patterned features, then etching back to form spacers on the sidewalls of those features, referred to as core features. After removing the core features, the spacers are used as an etch mask to define trenches in the underlying layer. While the spacer CD control is generally excellent, the trench CD may fall into one of two populations, due to the two possibilities of being located where a core feature was located or in the remaining gap. This is known as 'pitch walking'.[50] Generally pitch = core CD + gap CD + 2 * spacer CD, but this does not guarantee core CD = gap CD. For FEOL features like gate or active area isolation (e.g., fins), the trench CD is not as critical as the spacer-defined CD, in which case, spacer patterning is actually the preferred patterning approach.

When self-aligned quadruple patterning (SAQP) is used, there is a second spacer that is utilized, replacing the first one. In this case, the core CD is replaced by core CD - 2* 2nd spacer CD, and the gap CD is replaced by gap CD - 2 * 2nd spacer CD. Thus, some feature dimensions are strictly defined by the second spacer CD, while the remaining feature dimensions are defined by the core CD, core pitch, and first and second spacer CD's. The core CD and core pitch are defined by conventional lithography, while the spacer CDs are independent of lithography. This is actually expected to have less variation than pitch splitting, where an additional exposure defines its own CD, both directly and through overlay.

Spacer-defined lines also require cutting. The cut spots may shift at exposure, resulting in distorted line ends or intrusions into adjacent lines.

Self-aligned litho-etch-litho-etch (SALELE) has been implemented for 7 nm BEOL patterning.[51]

EUV lithography[]

Extreme ultraviolet lithography (also known as EUV or EUVL) is capable of resolving features below 20 nm in conventional lithography style. However, the 3D reflective nature of the EUV mask results in new anomalies in the imaging. One particular nuisance is the two-bar effect, where a pair of identical bar-shaped features do not focus identically. One feature is essentially in the 'shadow' of the other. Consequently, the two features generally have different CDs which change through focus, and these features also shift position through focus.[52][53][54] This effect may be similar to what may be encountered with pitch splitting. A related issue is the difference of best focus among features of different pitches.[55]

EUV also has issues with reliably printing all features in a large population; some contacts may be completely missing or lines bridged. These are known as stochastic printing failures.[56][57] The defect level is on the order of 1K/mm2.[58]

The tip-to-tip gap is hard to control for EUV, largely due to the illumination constraint.[59] A separate exposure(s) for cutting lines is preferred.

Attenuated phase shift masks have been used in production for 90 nm node for adequate focus windows for arbitrarily pitched contacts with the ArF laser wavelength (193 nm),[60][61] whereas this resolution enhancement is not available for EUV.[62][63]

At 2021 SPIE's EUV Lithography conference, it was reported by a TSMC customer that EUV contact yield was comparable to immersion multipatterning yield.[64]

Comparison with previous nodes[]

Due to these challenges, 7 nm poses unprecedented patterning difficulty in the back end of line (BEOL). The previous high-volume, long-lived foundry node (Samsung 10 nm, TSMC 16 nm) used pitch splitting for the tighter pitch metal layers.[65][66][67]

Cycle time: immersion vs. EUV[]

Process Immersion (≥ 275 WPH)[68] EUV (1500 wafers/day)[69]
Single-patterned layer:
1 day completion by immersion
6000 wafers/day 1500 wafers/day
Double-patterned layer:
2 days completion by immersion
6000 wafers/2 days 3000 wafers/2 days
Triple-patterned layer:
3 days completion by immersion
6000 wafers/3 days 4500 wafers/3 days
Quad-patterned layer:
4 days completion by immersion
6000 wafers/4 days 6000 wafers/4 days

Due to the immersion tools being faster presently, multipatterning is still used on most layers. On the layers requiring immersion quad-patterning, the layer completion throughput by EUV is comparable. On the other layers, immersion would be more productive at completing the layer even with multipatterning.

7 nm design rule management in volume production[]

The 7 nm metal patterning currently practiced by TSMC involves self-aligned double patterning (SADP) lines with cuts inserted within a cell on a separate mask as needed to reduce cell height.[70] However, self-aligned quad patterning (SAQP) is used to form the fin, the most important factor to performance.[71] Design rule checks also allow via multi-patterning to be avoided, and provide enough clearances for cuts that only one cut mask is needed.[71]

7 nm process nodes and process offerings[]

The naming of process nodes by different major manufacturers (TSMC, Samsung, SMIC, Intel) is partially marketing-driven and not directly related to any measurable distance on a chip – for example TSMC's 7 nm node was previously similar in some key dimensions to Intel's planned first-iteration 10 nm node, before Intel released further iterations, culminating in "10nm Enhanced SuperFin", which was later renamed to "Intel 7" for marketing reasons.[72][73]

Since EUV implementation at 7 nm is still limited, multipatterning still plays an important part in cost and yield; EUV adds extra considerations. The resolution for most critical layers is still determined by multiple patterning. For example, for Samsung's 7 nm, even with EUV single-patterned 36 nm pitch layers, 44 nm pitch layers would still be quadruple patterned.[74]

7 nm process nodes and process offerings
TSMC N7[75] Samsung 7LPP[76][77] TSMC N7P[30] TSMC N7+[78] TSMC N6 Intel 7[23]
(previously 10ESF)
SMIC N+1 (>7 nm) SMIC N+2 (7 nm) SMIC 7 nm EUV
Transistor density (MTr/mm2) 96.5[79] 95.3 (7LPE)[80]
81.07 (57PP)
85.57 (54PP)[81]
same as N7 113.9[79] 114.2[28] Un­known Un­known Un­known
SRAM bit-cell size 0.027 μm2[82] 0.0262 μm2[82] same as N7 Un­known Un­known 0.0312 μm2 Un­known Un­known Un­known
Transistor gate pitch 54 nm 54 nm same as N7 Un­known Un­known 54 nm Un­known Un­known Un­known
Transistor fin pitch Un­known 27 nm same as N7 Un­known Un­known 34 nm Un­known Un­known Un­known
Transistor fin height Un­known Un­known Un­known Un­known Un­known 53 nm Un­known Un­known Un­known
Minimum (metal) pitch 40 nm 46 nm same as N7 < 40 nm Un­known 36 nm Un­known Un­known Un­known
EUV implementation None, used self-aligned quad patterning (SAQP) instead 36 nm pitch metal;[74]
20% of total layer set
None 4 layers 5 layers None. Relied on SAQP heavily None None Yes (after N+2)
EUV-limited wafer output N/A 1500 wafers/day[69] N/A ~ 1000 wafers/day[83] Un­known N/A Un­known Un­known Un­known
Multipatterning
(≥ 2 masks on a layer)
Fins
Gate
Contacts/vias (quad-patterned)[84]
Lowest 10 metal layers
Fins
Gate
Vias (double-patterned)[85]
Metal 1 (triple-patterned)[85]
44 nm pitch metal (quad-patterned)[74]
Same as N7 Same as N7, with reduction on 4 EUV layers Same as N7, with reduction on 5 EUV layers multipatterning with DUV multipatterning with DUV Un­known
Release status 2017 risk production
2018 production[1]
2018 production 2019 production 2018 risk production[1]
2019 production
2020 production 2021 production [23] April 2021 risk production, Oct 2021 mass production Late 2021 risk production, 2022 mass production Postponed due to US embargo

GlobalFoundries' 7 nm 7LP (Leading Performance) process would have offered 40% higher performance or 60%+ lower power with a 2x scaling in density and at a 30-45+% lower cost per die over its 14 nm process. The Contacted Poly Pitch (CPP) would have been 56 nm and the Minimum Metal Pitch (MMP) would have been 40 nm, produced with Self-Aligned Double Patterning (SADP). A 6T SRAM cell would have been 0.269 square microns in size. GlobalFoundries planned to eventually use EUV lithography in an improved process called 7LP+.[86] GlobalFoundries later stopped all 7 nm and beyond process development.[87]

Intel's new "Intel 7" process, previously known as 10nm Enhanced SuperFin (10ESF), is based on its previous 10 nm node. The node will feature a 10-15% increase in performance per watt. Meanwhile, their old 7 nm process, now called "Intel 4", is expected to be released in 2023.[88] Few details about the Intel 4 node have been made public, although its transistor density has been estimated to be at least 202 million transistors per square millimeter.[23][89] As of 2020, Intel is experiencing problems with its Intel 4 process to the point of outsourcing production of its Ponte Vecchio GPUs.[90][91]

References[]

  1. ^ a b c d e "7nm Technology". TSMC. Retrieved June 30, 2019.
  2. ^ a b TSMC ramping up 7nm chip production Monica Chen, Hsinchu; Jessie Shen, DIGITIMES Friday 22 June 2018
  3. ^ "Apple's A12 Bionic CPU for the new iPhone XS is ahead of the industry moving to 7nm chip manufacturing tech". CNET. September 12, 2018. Retrieved September 16, 2018.
  4. ^ "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved September 20, 2018.
  5. ^ Smith, Ryan (July 26, 2018). "AMD "Rome" EPYC CPUs to Be Fabbed By TSMC". AnandTech. Retrieved June 18, 2019.
  6. ^ "No More Nanometers – EEJournal". July 23, 2020.
  7. ^ Shukla, Priyank. "A Brief History of Process Node Evolution". design-reuse.com. Retrieved July 9, 2019.
  8. ^ Hruska, Joel. "14nm, 7nm, 5nm: How low can CMOS go? It depends if you ask the engineers or the economists…". ExtremeTech.
  9. ^ "Exclusive: Is Intel Really Starting To Lose Its Process Lead? 7nm Node Slated For Release in 2022". wccftech.com. September 10, 2016.
  10. ^ "IBM claims world's smallest silicon transistor - TheINQUIRER". Theinquirer.net. December 9, 2002. Archived from the original on May 31, 2011. Retrieved December 7, 2017.CS1 maint: unfit URL (link)
  11. ^ Doris, Bruce B.; Dokumaci, Omer H.; Ieong, Meikei K.; Mocuta, Anda; Zhang, Ying; Kanarsky, Thomas S.; Roy, R. A. (December 2002). "Extreme scaling with ultra-thin Si channel MOSFETs". Digest. International Electron Devices Meeting: 267–270. doi:10.1109/IEDM.2002.1175829. ISBN 0-7803-7462-2. S2CID 10151651.
  12. ^ "NEC test-produces world's smallest transistor". Thefreelibrary.com. Retrieved December 7, 2017.
  13. ^ Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003). "Sub-10-nm planar-bulk-CMOS devices using lateral junction control". IEEE International Electron Devices Meeting 2003: 20.7.1–20.7.3. doi:10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID 2100267.
  14. ^ Dignan, Larry. "IBM Research builds functional 7nm processor". ZDNet.
  15. ^ Markoff, John (July 9, 2015). "IBM Discloses Working Version of a Much Higher-Capacity Chip". The New York Times.
  16. ^ "Beyond silicon: IBM unveils world's first 7nm chip – Ars Technica". arstechnica.com.
  17. ^ "Seven Advancements for Beyond 7nm Chips". IBM Research Blog. February 27, 2017.
  18. ^ Chang, J.; Chen, Y.; Chan, W.; Singh, S. P.; Cheng, H.; Fujiwara, H.; Lin, J.; Lin, K.; Hung, J.; Lee, R.; Liao, H. (February 2017). "12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications". 2017 IEEE International Solid-State Circuits Conference (ISSCC): 206–207. doi:10.1109/ISSCC.2017.7870333. S2CID 19930825.
  19. ^ Parish, Kevin (April 20, 2016). "Watch out Intel and Samsung: TSMC is gearing up for 7 nm processing with trial production". www.digitaltrends.com.
  20. ^ "TSMC Tips 7+, 12, 22nm Nodes | EE Times". EETimes. Retrieved March 17, 2017.
  21. ^ Shilov, Anton (May 5, 2017), "Samsung and TSMC Roadmaps: 8 and 6 nm Added, Looking at 22ULP and 12FFC", www.anandtech.com, p. 2
  22. ^ "GLOBALFOUNDRIES to Deliver Industry's Leading-Performance Offering of 7 nm FinFET Technology" (Press release). September 15, 2016. Retrieved April 8, 2017.
  23. ^ a b c d e f g h Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved July 27, 2021.
  24. ^ "Intel Supports American Innovation with $7 Billion Investment in Next-Generation Semiconductor Factory in Arizona". Intel Newsroom.
  25. ^ https://www.bloomberg.com/news/articles/2018-05-22/samsung-says-new-7-nanometer-chip-production-starting-this-year Samsung Says New 7-Nanometer Chip Production Starting This Year
  26. ^ https://www.engadget.com/2018/08/28/global-foundries-stops-7-nanometer-chip-production/ Major AMD chip supplier will no longer make next-gen chips
  27. ^ a b Q4 2018 TSMC earnings call transcript, January 17, 2019.
  28. ^ a b Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse. Retrieved May 31, 2019.
  29. ^ Shilov, Anton. "TSMC: Most 7nm Clients Will Transition to 6nm". anandtech.com. Retrieved May 31, 2019.
  30. ^ a b Schor, David (July 28, 2019). "TSMC Talks 7nm, 5nm, Yield, And Next-Gen 5G And HPC Packaging". WikiChip Fuse. Retrieved September 13, 2019.
  31. ^ C. C. Wei, TSMC Q1 2019 earnings call (April 18) transcript.
  32. ^ "AMD Dishes on Zen 3 and Zen 4 Architecture, Milan and Genoa Roadmap". Tom's Hardware. October 5, 2019. Retrieved October 8, 2019.
  33. ^ "TSMC's N7+ Technology is First EUV Process Delivering Customer Products to Market in High Volume | Planet 3DNow!" (in German). Retrieved October 8, 2019.
  34. ^ https://download.intel.com/newsroom/2021/client-computing/accelerating-process-innovation.pdf
  35. ^ "Ctrl+Alt+Delete: Why you should be excited for Intel's 7nm processor". Trusted Reviews. March 27, 2021. Retrieved March 30, 2021.
  36. ^ "Pushing Boundaries for CPUs and GPUs, AMD Shows Next-Generation of Ryzen, Radeon and EPYC Product Leadership at Computex 2018" (Press release). June 5, 2018.
  37. ^ Martin, Dylan (August 23, 2018). "AMD CTO: 'We Went All In' On 7nm CPUs". CRN.
  38. ^ "Apple Announces 'iPhone Xs' and 'iPhone Xs Max' With Gold Color, Faster Face ID, and More".
  39. ^ "Apple Introduces 7nm A12 Bionic CPU for iPhone XS". Tom's Hardware. September 12, 2018. Retrieved September 12, 2018.
  40. ^ "Apple walks Ars through the iPad Pro's A12X system on a chip". Ars Technica. Retrieved November 18, 2018.
  41. ^ Cutress, Ian. "Qualcomm Tech Summit, Day 1: Announcing 5G Partnerships and Snapdragon 855". anandtech.com. Retrieved May 31, 2019.
  42. ^ Frumusanu, Andrei. "Lenovo First to a Snapdragon 855 Phone with Announcement of Z5 Pro GT". anandtech.com. Retrieved May 31, 2019.
  43. ^ MediaTek. "MediaTek 5G". i.mediatek.com. Retrieved May 31, 2019.
  44. ^ "Samsung announces Exynos 9825 prior to Galaxy Note 10 launch". xda-developers. August 7, 2019. Retrieved September 13, 2019.
  45. ^ Cutress, Dr Ian. "Huawei Announces Kirin 990 and Kirin 990 5G: Dual SoC Approach, Integrated 5G Modem". anandtech.com. Retrieved September 13, 2019.
  46. ^ a b "IBM Reveals Next-Generation IBM POWER10 Processor". newsroom.ibm.com. August 17, 2020. Retrieved August 17, 2020.
  47. ^ "TSMC Plots an Aggressive Course for 3nm Lithography and Beyond - ExtremeTech".
  48. ^ "Intel CEO Announces 'IDM 2.0' Strategy for Manufacturing, Innovation".
  49. ^ "Intel Unleashed: Engineering the Future (Replay)".
  50. ^ M. J. Maslow et al., Proc. SPIE 10587, 1058704 (2018).
  51. ^ SALELE Double Patterning for 7nm and 5nm Nodes
  52. ^ "IMEC EUVL 2018 Workshop" (PDF).
  53. ^ Y. Nakajima et al., EUVL Symposium 2007, Sapporo.
  54. ^ L. de Winter et al., Proc. SPIE 9661, 96610A (2015).
  55. ^ M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  56. ^ P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  57. ^ "EUV's Stochastic Valley of Death". linkedin.com.
  58. ^ S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  59. ^ E. van Setten et al., Proc. SPIE 9661. 96610G (2015).
  60. ^ C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  61. ^ T. Devoivre et al., MTDT 2002.
  62. ^ S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  63. ^ A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  64. ^ Qi Li et al., Proc. SPIE 11609, 116090V (2021).
  65. ^ Jeong, W. C.; Ahn, J. H.; Bang, Y. S.; Yoon, Y. S.; Choi, J. Y.; Kim, Y. C.; Paek, S. W.; Ahn, S. W.; Kim, B. S.; Song, T. J.; Jung, J. H.; Do, J. H.; Lim, S. M.; Cho, H.-; Lee, J. H.; Kim, D. W.; Kang, S. B.; Ku, J.-; Kwon, S. D.; Jung, S.-; Yoon, J. S. (June 23, 2017). "10nm 2nd generation BEOL technology with optimized illumination and LELELELE". 2017 Symposium on VLSI Technology. pp. T144–T145. doi:10.23919/VLSIT.2017.7998156. ISBN 978-4-86348-605-8. S2CID 43207918 – via IEEE Xplore.
  66. ^ "TSMC Symposium: "10nm is Ready for Design Starts at This Moment" - Industry Insights - Cadence Blogs - Cadence Community". community.cadence.com.
  67. ^ Wu, S.; Lin, C. Y.; Chiang, M. C.; Liaw, J. J.; Cheng, J. Y.; Yang, S. H.; Liang, M.; Miyashita, T.; Tsai, C. H.; Hsu, B. C.; Chen, H. Y.; Yamamoto, T.; Chang, S. Y.; Chang, V. S.; Chang, C. H.; Chen, J. H.; Chen, H. F.; Ting, K. C.; Wu, Y. K.; Pan, K. H.; Tsui, R. F.; Yao, C. H.; Chang, P. R.; Lien, H. M.; Lee, T. L.; Lee, H. M.; Chang, W.; Chang, T.; Chen, R.; Yeh, M.; Chen, C. C.; Chiu, Y. H.; Chen, Y. H.; Huang, H. C.; Lu, Y. C.; Chang, C. W.; Tsai, M. H.; Liu, C. C.; Chen, K. S.; Kuo, C. C.; Lin, H. T.; Jang, S. M.; Ku, Y. (December 23, 2013). "A 16nm FinFET CMOS technology for mobile SoC and computing applications". 2013 IEEE International Electron Devices Meeting. pp. 9.1.1–9.1.4. doi:10.1109/IEDM.2013.6724591. ISBN 978-1-4799-2306-9 – via IEEE Xplore.
  68. ^ "Products & services - Supplying the semiconductor industry". asml.com.
  69. ^ a b "Samsung Ramps 7nm EUV Chips". EETimes. October 17, 2018.
  70. ^ "7 nm lithography process - WikiChip".
  71. ^ a b "A Heuristic Approach to Fix Design Rule Check (DRC) Violations in ASIC Designs @7nm FinFET Technology". Design And Reuse.
  72. ^ Merrit, Rick (January 16, 2017), "15 Views from a Silicon Summit", www.eetimes.com
  73. ^ Hill, Brandon (March 28, 2017). "Intel Details Cannonlake's Advanced 10nm FinFET Node, Claims Full Generation Lead Over Rivals". HotHardware.
  74. ^ a b c J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  75. ^ IEDM 2016
  76. ^ "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". August 4, 2018.
  77. ^ "Samsung Electronics Starts Production of EUV-based 7nm LPP Process". news.samsung.com.
  78. ^ "TSMC Goes Photon to Cloud". EETimes. October 4, 2018.
  79. ^ a b Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Retrieved July 30, 2019.
  80. ^ Nenni, Daniel (January 2, 2019). "Samsung vs TSMC 7nm Update". Semiwiki. Retrieved July 6, 2019.
  81. ^ Schor, David (October 28, 2018). "Samsung 7nm Enters Risk Production, Talks Roadmap, Scaling Boosters, and the ARM Ecosystem". WikiChip Fuse. Retrieved May 31, 2019.
  82. ^ a b "VLSI 2018: Samsung's 2nd Gen 7nm, EUV Goes HVM". WikiChip Fuse. August 4, 2018. Retrieved May 31, 2019.
  83. ^ "TSMC Q1 2018 earnings call transcript, p.12" (PDF).
  84. ^ Dillinger, Tom. "Top 10 Updates from the TSMC Technology Symposium, Part II".
  85. ^ a b W. C. Jeong et al., VLSI Technology 2017.
  86. ^ "Exclusive - GLOBALFOUNDRIES discloses 7nm process detail".
  87. ^ Cutress, Anton Shilov, Ian. "GlobalFoundries Stops All 7nm Development: Opts To Focus on Specialized Processes". www.anandtech.com. Retrieved July 27, 2021.
  88. ^ "Intel: Sorry, But Our 7nm Chips Will Be Delayed to 2022, 2023". PCMAG. Retrieved July 27, 2021.
  89. ^ "7 nm lithography process - WikiChip".
  90. ^ "Intel's 7nm Process Six Months Behind Schedule - News".
  91. ^ "As 7nm schedule continues slipping, Intel contemplates 3rd-party fabs". July 24, 2020.

External links[]

Preceded by
10 nm
MOSFET semiconductor device fabrication process Succeeded by
5 nm
Retrieved from ""