Apple silicon

From Wikipedia, the free encyclopedia
  (Redirected from )

Apple silicon is a series of system on a chip (SoC) and system in a package (SiP) processors designed by Apple Inc., mainly using the ARM architecture. It is the basis of most new Mac computers as well as iPhone, iPad, Apple TV, and Apple Watch, and of products such as AirPods, HomePod, iPod Touch, and AirTag.

Apple announced its plan to switch Mac computers from Intel processors to Apple silicon at WWDC 2020 on June 22, 2020.[1][2] The first Macs built around the Apple M1 processor were unveiled on November 10, 2020. By early 2022, most Mac models were built on Apple silicon; exceptions include the 27‑inch iMac[3] and the Mac Pro.[4]

Apple outsources the chips' manufacture but fully controls their integration with the company's hardware and software. Johny Srouji is in charge of Apple's silicon design.[5]

Early series[]

Apple first used SoCs in early versions of the iPhone and iPod touch. They combine in one package a single ARM-based processing core (CPU), a graphics processing unit (GPU), and other electronics necessary for mobile computing.

The APL0098 (also 8900B[6] or S5L8900) is a package on package (PoP) system on a chip (SoC) that was introduced on June 29, 2007, at the launch of the original iPhone. It includes a 412 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 90 nm process.[7] The iPhone 3G and the first-generation iPod touch also use it.[8]

The APL0278[9] (also S5L8720) is a PoP SoC introduced on September 9, 2008, at the launch of the second-generation iPod touch. It includes a 533 MHz single-core ARM11 CPU and a PowerVR MBX Lite GPU. It was manufactured by Samsung on a 65 nm process.[7][8]

The APL0298 (also S5L8920) is a PoP SoC introduced on June 8, 2009, at the launch of the iPhone 3GS. It includes a 600 MHz single-core Cortex-A8 CPU and a PowerVR SGX535 GPU. It was manufactured by Samsung on a 65 nm process.[10]

The APL2298 (also S5L8922) is a 45 nm die shrunk version of the iPhone 3GS SoC[7] and was introduced on September 9, 2009, at the launch of the third-generation iPod touch.

A series[]

Evolution of Apple "A" series
A4
March 2010-September 2013
A5
March 2011-September 2016
A5X
March–October 2012
A6
September 2012-2015
A6X
October 2012-2013
March–October 2014
A7
September 2013-March 2017
A8
September 2014–present
A8X
October 2014-March 2017
A9
September 2015-2018
A9X
November 2015-June 2017
A10 Fusion
September 2016–present
A10X Fusion
June 2017-April 2021
A11 Bionic
September 2017-April 2020
A12 Bionic
September 2018–present
A12X Bionic
October 2018-March 2020
A13 Bionic
September 2019–present
A12Z Bionic
March 2020-April 2021
A14 Bionic
September 2020–present
A15 Bionic
September 2021–present
Notes:

The Apple "A" series is a family of SoCs used in certain models of the iPhone, iPad other than the fifth generation iPad Pro, iPod Touch, and the Apple TV digital media player. They integrate one or more ARM-based processing cores (CPU), a graphics processing unit (GPU), cache memory and other electronics necessary to provide mobile computing functions within a single physical package.[11]

Apple A4[]

The Apple A4 is a PoP SoC manufactured by Samsung, the first SoC Apple designed in-house.[12] It combines an ARM Cortex-A8 CPU – also used in Samsung's S5PC110A01 SoC[13][14] – and a PowerVR SGX 535 graphics processor (GPU),[15][16][17] all built on Samsung's 45-nanometer silicon chip fabrication process.[7][18] The design emphasizes power efficiency.[19] The A4 commercially debuted in 2010, in Apple's iPad tablet,[15] and was later used in the iPhone 4 smartphone,[20] the fourth-generation iPod Touch, and the 2nd-generation Apple TV.[21]

The Cortex-A8 core used in the A4, dubbed "Hummingbird", is thought to use performance improvements developed by Samsung in collaboration with chip designer Intrinsity, which was subsequently acquired by Apple[22][23] It can run at far higher clock rates than other Cortex-A8 designs yet remains fully compatible with the design provided by ARM.[24] The A4 runs at different speeds in different products: 1 GHz in the first iPads,[25] 800 MHz in the iPhone 4 and fourth-generation iPod touch, and an undisclosed speed in the 2nd-generation Apple TV.

The A4's SGX535 GPU could theoretically push 35 million polygons per second and 500 million pixels per second, although real-world performance may be considerably less.[26] Other performance improvements include additional L2 cache.

The A4 processor package does not contain RAM, but supports PoP installation. The 1st-generation iPad, fourth-generation iPod touch,[27] and the 2nd-generation Apple TV[28] have an A4 mounted with two low-power 128 MB DDR SDRAM chips (totaling 256 MB), while the iPhone 4 has two 256 MB packages for a total of 512 MB.[29][30][31] The RAM is connected to the processor using ARM's 64-bit-wide AMBA 3 AXI bus. To give the iPad high graphics bandwidth, the width of the RAM data bus is double that used in previous ARM11- and ARM9-based Apple devices.[32]

Apple A5[]

The Apple A5 is an SoC manufactured by Samsung[33] that replaced the A4. The chip commercially debuted with the release of Apple's iPad 2 tablet in March 2011,[34] followed by its release in the iPhone 4S smartphone later that year. Compared to the A4, the A5 CPU "can do twice the work" and the GPU has "up to nine times the graphics performance",[35] according to Apple.

The A5 contains a dual-core ARM Cortex-A9 CPU[36] with ARM's advanced SIMD extension, marketed as NEON, and a dual core PowerVR SGX543MP2 GPU. This GPU can push between 70 and 80 million polygons/second and has a pixel fill rate of 2 billion pixels/second. The iPad 2's technical specifications page says the A5 is clocked at 1 GHz,[37] though it can adjust its frequency to save battery life.[36][38] The clock speed of the unit used in the iPhone 4S is 800 MHz. Like the A4, the A5 process size is 45 nm.[39]

An updated 32 nm version of the A5 processor was used in the 3rd-generation Apple TV, the fifth-generation iPod Touch, the iPad Mini, and the new version of iPad 2 (version iPad2,4).[40] The chip in the Apple TV has one core locked.[41][42] Markings on the square package indicate that it is named APL2498, and in software, the chip is called S5L8942. The 32 nm variant of the A5 provides around 15% better battery life during web browsing, 30% better when playing 3D games and about 20% better battery life during video playback.[43]

In March 2013, Apple released an updated version of the 3rd-generation Apple TV (Rev A, model A1469) containing a smaller, single-core version of the A5 processor. Unlike the other A5 variants, this version of the A5 is not a PoP, having no stacked RAM. The chip is very small, just 6.1×6.2 mm, but as the decrease in size is not due to a decrease in feature size (it is still on a 32 nm fabrication process), this indicates that this A5 revision is of a new design.[44] Markings tell that it is named APL7498, and in software, the chip is called S5L8947.[45][46]

Apple A5X[]

The Apple A5X is an SoC announced on March 7, 2012, at the launch of the third-generation iPad. It is a high-performance variant of the Apple A5; Apple claims it has twice the graphics performance of the A5.[47] It was superseded in the fourth-generation iPad by the Apple A6X processor.

The A5X has a quad-core graphics unit (PowerVR SGX543MP4) instead of the previous dual-core as well as a quad-channel memory controller that provides a memory bandwidth of 12.8 GB/s, roughly three times more than in the A5. The added graphics cores and extra memory channels add up to a very large die size of 165 mm²,[48] for example twice the size of Nvidia Tegra 3.[49] This is mainly due to the large PowerVR SGX543MP4 GPU. The clock frequency of the dual ARM Cortex-A9 cores have been shown to operate at the same 1 GHz frequency as in A5.[50] The RAM in A5X is separate from the main CPU package.[51]

Apple A6[]

The Apple A6 is a PoP SoC introduced on September 12, 2012, at the launch of the iPhone 5, then a year later was inherited by its minor successor the iPhone 5C. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A5.[52] It is 22% smaller and draws less power than the 45 nm A5.[53]

The A6 is said to use a 1.3 GHz[54] custom[55] Apple-designed ARMv7 based dual-core CPU, called Swift,[56] rather than a licensed CPU from ARM like in previous designs, and an integrated 266 MHz triple-core PowerVR SGX 543MP3[57] graphics processing unit (GPU). The Swift core in the A6 uses a new tweaked instruction set, ARMv7s, featuring some elements of the ARM Cortex-A15 such as support for the Advanced SIMD v2, and VFPv4.[55] The A6 is manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[58]

Apple A6X[]

Apple A6X is an SoC introduced at the launch of the fourth-generation iPad on October 23, 2012. It is a high-performance variant of the Apple A6. Apple claims the A6X has twice the CPU performance and up to twice the graphics performance of its predecessor, the Apple A5X.[59]

Like the A6, this SoC continues to use the dual-core Swift CPU, but it has a new quad core GPU, quad channel memory and slightly higher 1.4 GHz CPU clock rate.[60] It uses an integrated quad-core PowerVR SGX 554MP4 graphics processing unit (GPU) running at 300 MHz and a quad-channel memory subsystem.[60][61] Compared to the A6 the A6X is 30% larger, but it continues to be manufactured by Samsung on a high-κ metal gate (HKMG) 32 nm process.[61]

Apple A7[]

The Apple A7 is a 64-bit PoP SoC whose first appearance was in the iPhone 5S, which was introduced on September 10, 2013. The chip would also be used in the iPad Air, iPad Mini 2 and iPad Mini 3. Apple states that it is up to twice as fast and has up to twice the graphics power compared to its predecessor the Apple A6.[62] The Apple A7 chip is the first 64-bit chip to be used in a smartphone.[63]

The A7 features an Apple-designed 1.3[64]–1.4[65] GHz 64-bit[66] ARMv8-A[67][68] dual-core CPU,[64] called Cyclone,[67] and an integrated PowerVR G6430 GPU in a four cluster configuration.[69] The ARMv8-A architecture doubles the number of registers of the A7 compared to the A6.[70] It now has 31 general-purpose registers that are each 64-bits wide and 32 floating-point/NEON registers that are each 128-bits wide.[66] The A7 is manufactured by Samsung on a high-κ metal gate (HKMG) process[71] and the chip includes over 1 billion transistors on a die 102 mm2 in size.[64]

Apple A8[]

The Apple A8 is a 64-bit PoP SoC manufactured by TSMC. Its first appearance was in the iPhone 6 and iPhone 6 Plus, which were introduced on September 9, 2014.[72] A year later it would drive the iPad Mini 4. Apple states that it has 25% more CPU performance and 50% more graphics performance while drawing only 50% of the power compared to its predecessor, the Apple A7.[73] On February 9, 2018, Apple released the HomePod, which is powered by an Apple A8 with 1 GB of RAM.[74]

The A8 features an Apple-designed 1.4[75] GHz 64-bit[76] ARMv8-A[76] dual-core CPU, and an integrated custom PowerVR GX6450 GPU in a four cluster configuration.[75] The GPU features custom shader cores and compiler.[77] The A8 is manufactured on a 20 nm process[78] by TSMC,[79] which replaced Samsung as the manufacturer of Apple's mobile device processors. It contains 2 billion transistors. Despite that being double the number of transistors compared to the A7, its physical size has been reduced by 13% to 89 mm2 (consistent with a shrink only, not known to be a new microarchitecture).[80]

Apple A8X[]

The Apple A8X is a 64-bit SoC introduced at the launch of the iPad Air 2 on October 16, 2014.[81] It is a high performance variant of the Apple A8. Apple states that it has 40% more CPU performance and 2.5 times the graphics performance of its predecessor, the Apple A7.[81][82]

Unlike the A8, this SoC uses a triple-core CPU, a new octa-core GPU, dual channel memory and slightly higher 1.5 GHz CPU clock rate.[83] It uses an integrated custom octa-core PowerVR GXA6850 graphics processing unit (GPU) running at 450 MHz and a dual-channel memory subsystem.[83] It is manufactured by TSMC on their 20 nm fabrication process, and consists of 3 billion transistors.

Apple A9[]

The Apple A9 is a 64-bit ARM-based SoC that first appeared in the iPhone 6S and 6S Plus, which were introduced on September 9, 2015.[84] Apple states that it has 70% more CPU performance and 90% more graphics performance compared to its predecessor, the Apple A8.[84] It is dual sourced, a first for an Apple SoC; it is manufactured by Samsung on their 14 nm FinFET LPE process and by TSMC on their 16 nm FinFET process. It was subsequently included in the first-generation iPhone SE, and the iPad (5th generation). The Apple A9 was the last CPU that Apple manufactured through a contract with Samsung, as all A-series chips after are manufactured by TSMC.

Apple A9X[]

The Apple A9X is a 64-bit SoC that was announced on September 9, 2015, and released on November 11, 2015, and first appeared in the iPad Pro.[85] It offers 80% more CPU performance and two times the GPU performance of its predecessor, the Apple A8X. It is manufactured by TSMC using a FinFET process.[86]

Apple A10 Fusion[]

The Apple A10 Fusion is a 64-bit ARM-based SoC that first appeared in the iPhone 7 and 7 Plus, which were introduced on September 7, 2016.[87] The A10 is also featured in the sixth-generation iPad, seventh-generation iPad and seventh-generation iPod Touch.[88] It has a new ARM big.LITTLE quad core design with two high performance cores, and two smaller highly efficient cores. It is 40% faster than the A9, with 50% faster graphics. It is manufactured by TSMC on their 16 nm FinFET process.

Apple A10X Fusion[]

The Apple A10X Fusion is a 64-bit ARM-based SoC that first appeared in the 10.5" iPad Pro and the second generation of the 12.9" iPad Pro, which were both announced on June 5, 2017.[89] It is a variant of the A10 and Apple claims that it has 30 percent faster CPU performance and 40 percent faster GPU performance than its predecessor, the A9X.[89] On September 12, 2017, Apple announced that the Apple TV 4K would be powered by an A10X chip. It is made by TSMC on their 10 nm FinFET process.[90]

Apple A11 Bionic[]

The Apple A11 Bionic is a 64-bit ARM-based SoC[91] that first appeared in the iPhone 8, iPhone 8 Plus, and iPhone X, which were introduced on September 12, 2017.[91] It has two high-performance cores, which are 25% faster than the A10 Fusion, four high-efficiency cores, which are 70% faster than the energy-efficient cores in the A10, and for the first time an Apple-designed three-core GPU with 30% faster graphics performance than the A10.[91][92] It is also the first A-series chip to feature Apple's "Neural Engine," which enhances artificial intelligence and machine learning processes.[93]

Apple A12 Bionic[]

The Apple A12 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone XS, XS Max and XR, which were introduced on September 12, 2018. It is also used in the third-generation iPad Air, fifth-generation iPad Mini, and the eighth-generation iPad. It has two high-performance cores, which are 15% faster than the A11 Bionic, and four high-efficiency cores, which have 50% lower power usage than the energy-efficient cores in the A11 Bionic.[94] The A12 is manufactured by TSMC[95] using a 7 nm[96] FinFET process, the first to ship in a smartphone.[97][95] It is also used in the 6th generation Apple TV.

Apple A12X Bionic[]

The Apple A12X Bionic is a 64-bit ARM-based SoC that first appeared in the 11.0" iPad Pro and the third generation of the 12.9" iPad Pro, which were both announced on October 30, 2018.[98] It offers 35% faster single-core and 90% faster multi-core CPU performance than its predecessor, the A10X. It has four high-performance cores and four high-efficiency cores. The A12X is manufactured by TSMC using a 7 nm FinFET process.

Apple A12Z Bionic[]

The Apple A12Z Bionic is a 64-bit ARM-based SoC based on the A12X that first appeared in the fourth generation iPad Pro, which was announced on March 18, 2020.[99] The A12Z is also used in the Developer Transition Kit prototype computer that helps developers prepare their software for Macs based on Apple silicon.[100]

Apple A13 Bionic[]

The Apple A13 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 11, 11 Pro, and 11 Pro Max, which were introduced on September 10, 2019. It is also featured in the second-generation iPhone SE (released April 15, 2020) and in the 9th generation iPad (announced September 14, 2021).

The entire A13 Bionic SoC features a total of 18 cores – a six-core CPU, four-core GPU, and an eight-core Neural Engine processor, which is dedicated to handling on-board machine learning processes; four of the six cores on the CPU are low-powered cores that are dedicated to handling less CPU-intensive operations, such as voice calls, browsing the Web, and sending messages, while two higher-performance cores are used only for more CPU-intensive processes, such as recording 4K video or playing a video game.[101]

Apple A14 Bionic[]

The Apple A14 Bionic is a 64-bit ARM-based SoC that first appeared in the fourth-generation iPad Air and iPhone 12, released on October 23, 2020. It is the first commercially available 5 nm chipset and it contains 11.8 billion transistors and a 16-core AI processor.[102] It includes Samsung LPDDR4X DRAM, a 6-core CPU, and 4-Core GPU with real time machine learning capabilities.

Apple A15 Bionic[]

The Apple A15 Bionic is a 64-bit ARM-based SoC that first appeared in the iPhone 13, unveiled on September 14, 2021. The A15 is built on a 5-nanometer manufacturing process with 15 billion transistors. It has 2 high-performance processing cores, 4 high-efficiency cores, a new 5-core graphics for iPhone 13 Pro series (4-core for iPhone 13&13 mini) processing unit, and a new 16-core Neural Engine capable of 15.8 trillion operations per second.[103][104]

S series[]

Evolution of Apple "S" series
S1
November 2014-September 2016
S1P
November 2016-September 2018
S2
September 2016-September 2017
S3
September 2017–present
S4
September 2018-September 2019
S5
September 2019–present
S6
September 2020-September 2021
S7
September 2021–present
Notes:

The Apple "S" series is a family of Systems in a Package (SiP) used in the Apple Watch. It uses a customized application processor that together with memory, storage and support processors for wireless connectivity, sensors, and I/O comprise a complete computer in a single package. They are designed by Apple and manufactured by contract manufacturers such as Samsung.

Apple S1[]

The Apple S1 is an integrated computer. It includes memory, storage and support circuits like wireless modems and I/O controllers in a sealed integrated package. It was announced on September 9, 2014, as part of the "Wish we could say more" event. It was used in the first-generation Apple Watch.[105]

Apple S1P[]

Used in Apple Watch Series 1. It has a dual-core processor identical to the S2, with the exception of the built-in GPS receiver. It contains the same dual-core CPU with the same new GPU capabilities as the S2, making it about 50% faster than the S1.[106][107]

Apple S2[]

Used in the Apple Watch Series 2. It has a dual-core processor and a built-in GPS receiver. The S2's two cores deliver 50% higher performance and the GPU delivers twice as much as the predecessor,[108] and is similar in performance to the Apple S1P.[109]

Apple S3[]

Used in the Apple Watch Series 3. It has a dual-core processor that is 70% faster than the Apple S2 and a built-in GPS receiver.[110] There is also an option for a cellular modem and an internal eSIM module.[110] It also includes the W2 chip.[110] The S3 also contains a barometric altimeter, the W2 wireless connectivity processor, and in some models UMTS (3G) and LTE (4G) cellular modems served by a built-in eSIM.[111]

Apple S4[]

Used in the Apple Watch Series 4. It has a custom 64-bit dual-core processor based on the A12 with up to 2× faster performance. It also contains the W3 wireless chip, which supports Bluetooth 5. The S4 introduced 64-bit ARMv8 cores to the Apple Watch. The chip contains two Tempest cores,[112][113] which are the energy-efficient cores found in the A12. Despite the small size, Tempest still uses a 3-wide decode out-of-order superscalar design, which make them much more powerful than previous in-order cores.

The S4 contains a Neural Engine that is able to run Core ML.[114] Third-party apps can use it starting from watchOS 6. The SiP also includes new accelerometer and gyroscope functionality that has twice the dynamic range in measurable values of its predecessor, as well as being able to sample data at 8 times the speed.[115] It also contains a new custom GPU, which can use the Metal API.[116]

Apple S5[]

Used in the Apple Watch Series 5, Watch SE, and HomePod mini.[117] It adds a built-in magnetometer to the custom 64-bit dual-core processor and GPU of the S4.[118]

Apple S6[]

Used in the Apple Watch Series 6. It has a custom 64-bit dual-core processor that runs up to 20 percent faster than the S5.[119][120] The dual cores in the S6 are based on the A13's energy-efficient "little" Thunder cores at 1.8 GHz.[121] Like the S4 and S5, it also contains the W3 wireless chip.[120] The S6 adds the new U1 ultra wideband chip, an always-on altimeter, and 5 GHz WiFi.[119][120]

Apple S7[]

Used in the Apple Watch Series 7. The S7 has the same T8301 identifier and quoted performance as the S6.[122]

T series[]

The T series chip operates as a secure enclave on Intel-based MacBook and iMac computers released from 2016 onwards. The chip processes and encrypts biometric information (Touch ID) and acts as a gatekeeper to the microphone and FaceTime HD camera, protecting them from hacking. The chip runs bridgeOS, a purported variant of watchOS.[123]

Apple T1[]

The Apple T1 chip is an ARMv7 SoC (derived from the processor in the Apple Watch's S2) that drives the System Management Controller (SMC) and Touch ID sensor of the 2016 and 2017 MacBook Pro with Touch Bar.[124]

Apple T2[]

The Apple T2 Security Chip is a SoC first released in the iMac Pro 2017. It is a 64-bit ARMv8 chip (a variant of the A10, or T8010), and runs bridgeOS 2.0.[125][126] It provides a secure enclave for encrypted keys, enables users to lock down the computer's boot process, handles system functions like the camera and audio control, and handles on-the-fly encryption and decryption for the solid-state drive.[127][128][129] T2 also delivers "enhanced imaging processing" for the iMac Pro's FaceTime HD camera.[130][131]

W series[]

The Apple "W" series is a family of SoCs and wireless chips with a focus on Bluetooth and Wi-Fi connectivity. "W" in model numbers stands for wireless.

Apple W1[]

The Apple W1 is a SoC used in the 2016 AirPods and select Beats headphones.[132][133] It maintains a Bluetooth[134] Class 1 connection with a computer device and decodes the audio stream that is sent to it.[135]

Apple W2[]

The Apple W2, used in the Apple Watch Series 3, is integrated into the Apple S3 SiP. Apple said the chip makes Wi-Fi 85% faster and allows Bluetooth and Wi-Fi to use half the power of the W1 implementation.[110]

Apple W3[]

The Apple W3 is used in the Apple Watch Series 4,[136] Series 5,[137] Series 6,[120] SE,[120] and Series 7. It is integrated into the Apple S4, S5, S6 and S7 SiPs. It supports Bluetooth 5.0.

H series[]

The Apple "H" series is a family of SoCs used in headphones. "H" in model numbers stands for headphones.

Apple H1[]

The Apple H1 chip was first used in the 2019 version of AirPods, and was later used in the Powerbeats Pro, the Beats Solo Pro, the AirPods Pro, the 2020 Powerbeats, AirPods Max,[138] and the AirPods (3rd generation). Specifically designed for headphones, it has Bluetooth 5.0, supports hands-free "Hey Siri" commands,[139] and offers 30 percent lower latency than the W1 chip used in earlier AirPods.[140]

U series[]

The Apple "U" series is a family of Systems in a Package (SiP) implementing ultra-wideband radio.

Apple U1[]

The Apple U1 is used in the iPhone 11 and later (excluding the second generation iPhone SE), the Apple Watch Series 6 and Series 7, the HomePod mini and AirTag trackers.[141]

M series[]

Evolution of Apple "M" series
M1
November 2020–present
M1 Pro
October 2021–present
M1 Max
October 2021–present
Notes:

The Apple "M" series is a family of Systems on a Chip (SoC) used in Mac computers from November 2020 or later and iPad Pro tablets from April 2021 or later. The "M" designation was previously used for Apple motion coprocessors.

Apple M1[]

The M1 chip, Apple's first processor designed for use in Macs, is manufactured using TSMC's 5 nm process. Announced on November 10, 2020, it is used in the MacBook Air (M1, 2020), Mac mini (M1, 2020), MacBook Pro (13-inch, M1, 2020), iMac (24-inch, M1, 2021), iPad Pro, 11-inch (3rd generation), and iPad Pro, 12.9-inch (5th generation).[142]

Apple M1 Pro and M1 Max[]

The M1 Pro chip is a more powerful companion to the M1, with six to eight performance cores, two efficiency cores, 14 to 16 GPU cores, 16 Neural Engine cores, up to 32 GB unified RAM with up to 200 GB/s memory bandwidth, and more than double the transistors. It was announced on October 18, 2021, and is used in the 14- and 16-inch MacBook Pro. Apple said the CPU performance is about 70% faster than the M1, and that its GPU performance is about double. Apple claims the M1 Pro can deliver up to 20 streams of 4K or 7 streams of 8K ProRes video playback (up from 6 offered by Afterburner card for 2019 Mac Pro).

The M1 Max chip is a larger version of the M1 Pro chip, with eight performance cores, two efficiency cores, 24 to 32 GPU cores, 16 Neural Engine cores, up to 64 GB unified RAM with up to 400 GB/s memory bandwidth, and more than double the number of transistors. It was announced on October 18, 2021, and is used in the 14- and 16-inch MacBook Pro. Apple says it has 57 billion transistors.[143] Apple claims the M1 Max can deliver up to 30 streams of 4K (up from 23 offered by Afterburner card for 2019 Mac Pro) or 7 streams of 8K ProRes video playback.

Miscellaneous devices[]

This segment is about Apple-designed processors that are not easily sorted into another section.

The 339S0196 is an ARM-based microcontroller used in Apple's Lightning Digital AV Adapter, a Lightning-to-HDMI adapter. This is a miniature computer with 256 MB RAM, running an XNU kernel loaded from the connected iOS device, then taking a serial signal from the iOS device translating that into a proper HDMI signal.[144][145]

List of Apple processors[]

A series list[]

Name Model
no.
Image
Semiconductor
technology
Die
size
Transistor count CPU ISA CPU CPU
cache
GPU FLOPS
FP32/FP16
AI accelerator Memory
technology
First Released Utilizing
devices
Initial OS Terminal OS
Memory
bandwidth
APL
0098
S5L8900.jpg 90 nm
[10]
72 
mm2
[7]
ARMv6 412 MHz single-core ARM11 L1i: 16 KB
L1d: 16 KB
PowerVR MBX Lite @ 60-103 MHz (1 EU, 8 ALUs) (0.96 - 1.64  GFLOPS) N/A LPDDR-266 Single-
channel
16-bit @[146]
133 MHz (533 MB/s)
June 29, 2007
  • iPhone (1st generation)
  • iPhone 3G
  • iPod Touch (1st generation)
iPhone OS 1.0 iPhone OS 3.1.3
iOS 4.2.1
APL
0278
S5L8720.jpg 65 nm
[7][10]
36 
mm2
[7]
412–533 MHz single-core ARM11 PowerVR MBX Lite @ 103-133 MHz (1 EU, 8 ALUs) (1.64 - 2.12 GFLOPS) LPDDR-266 Single-
channel
32-bit @
133 MHz (1066 MB/s)
September 9, 2008
  • iPod Nano (4th generation)
  • iPod Touch (2nd generation)
iPhone OS 2.1.1
APL
0298
Apple SoC S5L8920.jpg 71.8 
mm2
[18]
ARMv7 600 MHz single-core Cortex-A8 L1i: 32 KB
L1d: 32 KB
L2: 256 KB
PowerVR SGX535 @ 200 MHz (2 EUs, 16 ALUs) (6.4 GFLOPS) LPDDR-400 Single-
channel
32-bit @
200 MHz (1.6 GB/s)
June 19, 2009
  • iPhone 3GS
iPhone OS 3.0 iOS 6.1.6
APL
2298
S5L8922.jpg 45 nm
[7][18][39]
41.6 
mm2
[7]
600–800 MHz single-core Cortex-A8 September 9, 2009
  • iPod Touch (3rd generation)
iPhone OS 3.1.1 iOS 5.1.1
A4 APL
0398
Apple A4 Chip.jpg 53.3 
mm2
[7][18]
0.8–1.0 GHz single-core Cortex-A8 L1i: 32 KB
L1d: 32 KB
L2: 512 KB
PowerVR SGX535 @ 200-250 MHz (2 EUs, 16 ALUs) (6.4-8.0 GFLOPS)[147] LPDDR-400 Dual-
channel
32-bit (64-bit)
@ 200 MHz (3.2 GB/s)
April 3, 2010
  • Apple TV (2nd generation)
  • iPad (1st generation)
  • iPhone 4
  • iPod Touch (4th generation)
iPhone OS 3.2 iOS 5.1.1
iOS 6.1.6
iOS 7.1.2
A5 APL
0498
Apple A5 Chip.jpg 122.2 
mm2
[39]
0.8–1.0 GHz dual-core Cortex-A9 L1i: 32 KB
L1d: 32 KB
L2: 1 MB[148]
PowerVR SGX543MP2  (dual-core) @ 200 MHz (4 EUs, 32 ALUs) (12.8 GFLOPS)[149] LPDDR2-800 Dual-
channel
32-bit (64-bit)
@ 400 MHz (6.4 GB/s)
March 11, 2011
  • iPad 2 (iPad2,1; iPad2,2; iPad2,3)
  • iPhone 4S
iOS 4.3 iOS 9.3.5
iOS 9.3.6
APL
2498
Apple-A5-APL2498.jpg 32 nm
MG
[40][46]
69.6 
mm2
[40]
0.8–1.0 GHz dual-core Cortex-A9 (one core locked in Apple TV) March 7, 2012
  • Apple TV (3rd generation)
  • iPad 2 (iPad2,4)
  • iPad Mini (1st generation)
  • iPod Touch (5th generation)
iOS 5.1
APL
7498
Apple-A5-APL7498.jpg 37.8 
mm2
[46]
1.0 GHz Single-core Cortex-A9 (single-core redesign from A5 dual-core) January 28, 2013
  • Apple TV (3rd generation)
    (Rev A, model A1469)
iOS 6.1 iOS 8.4.6
A5X APL
5498
Apple A5X Chip.jpg 45 nm
[48]
165 
mm2
[48]
1.0 GHz dual-core Cortex-A9 PowerVR SGX543MP4 (quad-core) @ 200 MHz (8 EUs, 64 ALUs) (25.6 GFLOPS)[149] LPDDR2-800 Quad-channel 32-bit (128-bit) @ 400 MHz (12.8 GB/s)[150] March 16, 2012
  • iPad (3rd generation)
iOS 5.1 iOS 9.3.5
iOS 9.3.6
A6 APL
0598
Apple A6 Chip.jpg 32 nm
MG
[58][151][61]
96.71 
mm2
[58][151]
ARMv7s[152] 1.3 GHz[153] dual-core Swift[55] PowerVR SGX543MP3 (3-core) @ 266 MHz (6 EUs, 48 ALUs) (68.0 GFLOPS)[57] LPDDR2-1066 Dual-channel 32-bit (64-bit) @ 533 MHz (8.528 GB/s)[154] September 21, 2012
  • iPhone 5
  • iPhone 5C
iOS 6.0 iOS 10.3.3
iOS 10.3.4
A6X APL
5598
Apple A6X chip.jpg 123 
mm2
[61]
1.4 GHz dual-core Swift[60] PowerVR SGX554MP4 (quad-core) @ 300 MHz (16 EUs, 128 ALUs)[60][155](76.8 GFLOPS) LPDDR2-1066 Quad-channel 32-bit (128-bit) @ 533 MHz (17.1 GB/s)[156] November 2, 2012
  • iPad (4th generation)
A7 APL
0698
Apple A7 chip.jpg
MG
[71][157]
102 
mm2
[66][157]
≈1 billion ARMv8.0-A[67][75] 1.3 GHz[64] dual-core Cyclone[67] L1i: 64 KB
L1d: 64 KB
L2: 1 MB
L3: 4 MB (Inclusive)[67][158][65]
PowerVR G6430 (quad-core) @ 450  MHz (16 EUs, 128 ALUs)[69][155] (115.2  GFLOPS) LPDDR3-1600 Single-channel 64-bit[76][159]@ 800 MHz (12.8 GB/s) September 20, 2013 iOS 7.0 iOS 12.5.5
APL
5698
Apple A7 S5L9865 chip.jpg 1.4 GHz[65] dual-core Cyclone[67] November 1, 2013
  • iPad Air (1st generation)
iOS 7.0.3
A8 APL
1011
Apple A8 system-on-a-chip.jpg
(TSMC)
[76][75]
89 
mm2
[160][83]
[161]
~2 billion 1.1–1.5 GHz dual-core Typhoon[75][162] Customized PowerVR GXA6450 (quad-core) @ 533 MHz (16 EUs, 128 ALUs)[77][163][164] (136.4 GFLOPS) September 19, 2014
  • Apple TV HD
  • HomePod
  • iPad Mini 4
  • iPhone 6
  • iPhone 6 Plus
  • iPod Touch (6th generation)
iOS 8.0

tvOS 9.0 audioOS 11.0

iOS 12.5.5


Current

A8X APL
1012
Apple A8X system-on-a-chip.jpg 128 
mm2
[83]
~3 billion 1.5 GHz 3-core[83][162] Typhoon L1i: 64 KB
L1d: 64 KB
L2: 2 MB
L3: 4 MB[83] (Inclusive)[158]
Customized PowerVR GXA6850 (8-core) @ 450 MHz (32 EUs, 256 ALUs)[77][83][161] (230.4 GFLOPS) LPDDR3-1600 Dual-channel 64-bit (128-bit)
@ 800 MHz (25.6 GB/s)[159][83]
October 22, 2014
  • iPad Air 2
iOS 8.1 Current
A9 APL
0898
Apple A9 APL0898.jpg 14 nm
FinFET
(Samsung)
[165]
96 
mm2
[166]
>2 billion 1.85 GHz dual-core[167][168] Twister L1i: 64 KB
L1d: 64 KB
L2: 3 MB
L3: 4 MB (Victim)

[158][169]

Customized PowerVR GT7600 (6-core) @ 650 MHz (24 EUs, 192 ALUs)[77][170](249.6 GFLOPS) LPDDR4-3200 Single-channel 64-bit[168][169]@ 1600 MHz (25.6 GB/s) September 25, 2015
  • iPad (5th generation)
  • iPhone 6S
  • iPhone 6S Plus
  • iPhone SE (1st generation)
iOS 9.0
APL
1022
Apple A9 APL1022.jpg
FinFET
(TSMC)
[166][171][172]
104.5 
mm2
[166]
A9X APL
1021
Apple A9X.jpg 143.9 
mm2
[171][90]
>3 billion 2.16–2.26 GHz dual-core Twister[173][174] L1i: 64 KB
L1d: 64 KB
L2: 3 MB
L3: none[158][171]
Customized PowerVR GTA7850 (12-core) @ 650 MHz (48 EUs, 384 ALUs)[77][171](499.2 GFLOPS) LPDDR4-3200 Dual-channel 64-bit (128-bit) @ 1600 MHz (51.2 GB/s) November 11, 2015
  • iPad Pro (1st generation)
iOS 9.1
A10 Fusion APL
1W24
Apple A10 Fusion APL1W24.jpg 125 
mm2
[172]
3.3 billion ARMv8.1-A 2.34 GHz (2× Hurricane) + 1.092 GHz (2× Zephyr) (quad-core overall)[175] L1i: 64 KB
L1d: 64 KB
L2: 3 MB
L3: 4 MB
Customized PowerVR GT7600 Plus (6-core) @ 900 MHz (24 EUs, 192 ALUs)[176][77](345.6 GFLOPS)[177][178] LPDDR4-3200 Single-channel 64-bit @ 1600 MHz (25.6 GB/s) September 16, 2016 iOS 10.0
A10X Fusion APL
1071
[179]
Apple A10X Fusion.jpg 10 nm FinFET (TSMC)
[90]
96.4 
mm2
[90]
>4 billion 2.36 GHz (3× Hurricane) + 1.3 GHz (3× Zephyr) (6-core overall)[180] L1i: 64 KB
L1d: 64 KB
L2: 8 MB
L3: none[180][181]
SLC: 4 MB
Customized PowerVR GT7600 Plus(12-core)[77][89]@ 1000 MHz (48 EUs, 384 ALUs) (768.0 GFLOPS) LPDDR4-3200 Dual-channel 64-bit (128-bit) @ 1600 MHz (51.2 GB/s)
[179][180]
June 13, 2017
  • Apple TV 4K (2017)
  • iPad Pro (2nd generation)
iOS 10.3.2

tvOS 11.0

A11 Bionic APL
1W72
Apple A11.jpg 87.66 
mm2
[182]
4.3 billion ARMv8.2-A[183] 2.39 GHz (2× Monsoon) + 1.19 GHz (4× Mistral) (6-core overall) Apple-designed (triple-core) @ 1066 MHz (24 EUs, 192 ALUs) (409.3 GFLOPS) Neural Engine (dual-core) 600 GOPS (billion operations/s) LPDDR4X-4266 Single-channel 64-bit @ 2133 MHz (34.1 GB/s)
[184][185][186][187][188]
September 22, 2017
  • iPhone 8
  • iPhone 8 Plus
  • iPhone X
iOS 11.0
A12 Bionic APL
1W81
Apple A12.jpg 7 nm FinFET (TSMC N7) 83.27 
mm2
[189]
6.9 billion ARMv8.3-A[190] up to 2.49 GHz (2× Vortex) + up to 1.59 GHz (4× Tempest) (6-core overall)[186] L1i: 128 KB
L1d: 128 KB
L2: 8 MB
L3: none
SLC: 8 MB
Apple-designed (quad-core) @ 1125 MHz (32 EUs, 256 ALUs) (576.0 GFLOPS) Neural Engine (octa-core) 5 TOPS September 21, 2018 iOS 12.0
tvOS 14.5
A12X Bionic APL
1083
Apple A12X.jpg ≈135 
mm2
[191]
10 billion up to 2.49 GHz (4× Vortex) + up to 1.59 GHz (4× Tempest) (8-core overall) Apple-designed (7-core) @ 1340 MHz (56 EUs, 448 ALUs) (1.20 TFLOPS) LPDDR4X-4266 Dual-channel 64-bit (128-bit) @ 2133 MHz (68.2 GB/s) November 7, 2018
  • iPad Pro (3rd generation)
iOS 12.1
A12Z Bionic Apple A12Z.jpg Apple-designed (8-core) @ 1340 MHz (64 EUs, 512 ALUs) (1.37 TFLOPS) March 25, 2020
  • iPad Pro (4th generation)
iPadOS 13.4
June 22, 2020
  • Developer Transition Kit
macOS Big Sur 11.0 Beta 1 macOS Big Sur 11.3 Beta 2
A13 Bionic APL
1W85
Apple A13 Bionic.jpg 7 nm FinFET (TSMC N7P) 98.48 
mm2
[192]
8.5 billion ARMv8.4-A[193] up to 2.65 GHz (2x Lightning) + up to 1.8 GHz (4x Thunder) (6-core overall) L1i: 128 KB
L1d: 128 KB
L2: 8 MB
L3: none
SLC: 16 MB
Apple-designed (quad-core) @ 1350 MHz (32 EUs, 256 ALUs)[194] (691.2 GFLOPS) Neural Engine (octa-core) 5.5 TOPS LPDDR4X-4266 Single-channel 64-bit[195][196] @ 2133 MHz (34.1 GB/s) September 20, 2019 iOS 13.0 Current
A14 Bionic APL
1W01
Apple A14.jpg 5 nm FinFET (TSMC N5) 88
mm2
[197]
11.8 billion ARMv8.5-A up to 3.0 GHz (2x Firestorm) + up to 1.823 GHz (4x Icestorm) (6-core overall)[198][199]

L1i: 192 KB
L1d: 128 KB
L2: 8 MB
(shared for performance cores) L2: 4 MB (shared for efficient cores)
L3: none
SLC: 16 MB

Apple-designed (quad-core) @ 1000 MHz (64 EUs, 512 ALUs)[198][194][200] (1.0 TFLOPS)[201] Neural Engine (16-core) 11 TOPS October 23, 2020 iOS 14.0
A15 Bionic APL
1W07[196]
Apple A15.jpg 5 nm FinFET (TSMC N5P) 107.68 mm2[196] 15 billion up to 2.93 or 3.23 GHz (2x Avalanche) + up to 2.016 GHz (4x Blizzard) (6-core overall)[202]

L1i: 192 KB
L1d: 128 KB
L2: 12 MB
(shared for performance cores) L2: 4 MB (shared for efficient cores)
L3: none
SLC: 32 MB

Apple-designed (quad-core) @ 600 MHz (128 EUs, 1024 ALUs) (1.2 TFLOPS)[203][204][205] Neural Engine (16-core) 15.8 TOPS September 24, 2021 iOS 15.0
Apple-designed (5-core) @ 600 MHz (160 EUs, 1280 ALUs) (1.5 TFLOPS)[206][207]
Name Model
no.
Image
Semiconductor
technology
Die size Transistor count CPU ISA CPU CPU
cache
GPU FLOPS
FP32/FP16
AI accelerator Memory
bandwidth
First Released Utilizing
devices
Initial OS Terminal OS
Memory
technology

S series list[]

Name Model
no.
Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU FLOPS
FP32/FP16
Memory
technology
Modem First Released Utilizing
devices
Initial OS Terminal OS
S1 APL
0778
[208]
Apple S1 module.png 28 nm MG[209][210] 32 mm2[209] ARMv7k[210][211] 520 MHz single-core Cortex-A7[210] L1d: 32 KB[210]
L2: 256 KB[210]
PowerVR Series 5[210][212] LPDDR3[213] April 2015
  • Apple Watch (1st generation)
watchOS 1.0 watchOS 4.3.2
S1P TBC Apple S1P module.png TBC ARMv7k[214][215][108] 520 MHz dual-core Cortex-A7 without GPS[214] TBC PowerVR Series 6 'Rogue'[214] LPDDR3 September 2016
  • Apple Watch Series 1
watchOS 3.0 watchOS 6.3
S2 Apple S2 module.png
S3 Apple S3 module.png ARMv7k[216] Dual-core TBC LPDDR4 Qualcomm MDM9635M (Snapdragon X7 LTE) September 2017 watchOS 4.0 Current
S4 Apple S4 module.png 7 nm (TSMC N7) TBC ARMv8-A ILP32[217][218] 1.59 GHz Dual-core Tempest TBC Apple G11M[218] TBC September 2018 watchOS 5.0 Current
S5 Apple S5 module.png ARMv8-A ILP32 Apple G11M September 2019
  • Apple Watch SE
  • Apple Watch Series 5
  • HomePod mini
watchOS 6.0

audioOS 14.2

Current
S6 Apple S6 module.png 7 nm (TSMC N7P) TBC 1.8 GHz Dual-core Thunder TBC September 2020
  • Apple Watch Series 6
watchOS 7.0 Current
S7 Apple S7 module.png September 2021
  • Apple Watch Series 7
watchOS 8.0 Current
Name Model no. Image Semiconductor technology Die size CPU ISA CPU CPU cache GPU FLOPS
FP32/FP16
Memory
bandwidth
Modem First Released Utilizing
devices
Initial OS Terminal OS

T series list[]

Name Model
no.
Image Semiconductor
technology
Die size CPU ISA CPU CPU cache GPU Memory
technology
First
Released
Utilizing
devices
Memory
bandwidth
T1 APL
1023
[219]
Apple T1 Processor ARMv7 TBD November
12, 2016
  • MacBook Pro (13-inch, 2016,
    Four Thunderbolt 3 ports)
  • MacBook Pro (13-inch, 2017,
    Four Thunderbolt 3 ports)
  • MacBook Pro (15-inch, 2016)
  • MacBook Pro (15-inch, 2017)
T2 APL
1027
[220]
Apple T2 Processor TSMC 16 nm FinFET.[221] 104 mm2[221] ARMv8-A
ARMv7-A
2× Hurricane
2× Zephyr
+ Cortex-A7
L1i: 64 KB
L1d: 64 KB
L2: 3 MB[221]
3× cores[221] LP-DDR4[221] December
14, 2017
  • iMac 27-inch (mid-2020)
  • iMac Pro 2017
  • Mac mini (2018)
  • Mac Pro (2019)
  • MacBook Air (2018)
  • MacBook Air (2019)
  • MacBook Air (Early 2020)
  • MacBook Pro (13-inch, 2018,
    Four Thunderbolt 3 ports)
  • MacBook Pro (13-inch, 2019)
  • MacBook Pro (13-inch, Early 2020)
  • MacBook Pro (15-inch, 2018)
  • MacBook Pro (15-inch, 2019)
  • MacBook Pro (16-inch, 2019)
Name Model
no.
Image Semiconductor
technology
Die size CPU ISA CPU CPU cache GPU Memory
bandwidth
First
Released
Utilizing
devices
Memory
technology

W series list[]

Name Model no. Image Semiconductor
technology
Die
size
CPU ISA CPU CPU cache Memory
technology
Bluetooth First
Released
Utilizing
devices
Memory
bandwidth
W1 343S00130[222]
343S00131[222]
Apple W1 chip TBC 14.3
 mm2
[222]
TBC 4.2 December
13, 2016
  • AirPods (1st generation)
  • Beats Flex
  • Beats Solo3
  • Beats Studio3
  • BeatsX
  • Powerbeats3
W2 338S00348[223] Apple W2 chip TBC September
22, 2017
W3 338S00464[224] Apple W3 chip 5.0 September
21, 2018
Name Model no. Image Semiconductor
technology
Die
size
CPU ISA CPU CPU cache Memory
bandwidth
Bluetooth First
Released
Utilizing
devices
Memory
technology

H series list[]

Name Model no. Image Bluetooth First
Released
Utilizing
devices
H1 343S00289[225]
(AirPods 2nd Generation)
343S00290[226]
(AirPods 2nd Generation)
343S00404[227]
(AirPods Max)
H1 SiP[228]
(AirPods Pro)
Apple H1 chip Apple H1 chip Apple H1 chip
Apple H1 SiP Apple H! SiP
5.0 March
20, 2019
  • AirPods (2nd generation)
  • AirPods (3rd generation)
  • AirPods Max
  • AirPods Pro
  • Beats Solo Pro[229]
  • Powerbeats (2020)
  • Powerbeats Pro
  • Beats Fit Pro
Name Model no. Image Bluetooth First
Released
Utilizing
devices

U series list[]

Name Model
no.
Image CPU Semiconductor
technology
First
Released
Utilizing
devices
U1 TMK

A75
[230]

Apple U1 chip Cortex-M4
ARMv7E-M
[231]
FinFET
(TSMC 16FF)
September
20, 2019
  • AirTag
  • Apple Watch Series 6
  • Apple Watch Series 7
  • HomePod mini
  • iPhone 11
  • iPhone 11 Pro
  • iPhone 11 Pro Max
  • iPhone 12
  • iPhone 12 mini
  • iPhone 12 Pro
  • iPhone 12 Pro Max
  • iPhone 13
  • iPhone 13 mini
  • iPhone 13 Pro
  • iPhone 13 Pro Max
Name Model
no.
Image CPU Semiconductor
technology
First
Released
Utilizing
devices

M series list[]

Name Model no. Image Semiconductor technology Die
size
Transistor count CPU ISA CPU CPU
cache
GPU FLOPS
FP32/FP16
AI accelerator Memory
technology
First Released Utilizing
devices
Initial OS Terminal OS
Memory
bandwidth
M1 APL
1102
Apple M1 processor 5 nm
(TSMC N5)
120 mm2
[232]
16 billion ARMv8.5-A 3.2 GHz (4× Firestorm) + 2.064 GHz (4× Icestorm)
(8-core overall)
Performance Cores:
L1i: 192 kB L1d: 128 kB L2: 12 MB shared
Efficiency Cores: L1i:
128 kB L1d: 64 kB
L2: 4 MB shared
SLC: 16 MB
Apple-designed (7-core) @ 1278 MHz (112 EUs, 896 ALUs) (2.29 TFLOPS peak)[233][234] Neural Engine (16-core) 11 TOPS LPDDR4X
-4266 Dual-
channel
64-bit
(128-bit) @ 2133 MHz (68.2 GB/s)
November
17, 2020

MacBook Air (Late 2020) MacBook Pro (Late 2020)
Mac Mini
(Late 2020)

iMac (Early 2021)
iPad Pro (5th generation)

macOS
Big Sur

iPadOS 14.5

Current
Apple-designed (8-core) @ 1278 MHz (128 EUs, 1024 ALUs) (2.61 TFLOPS peak)[233]
M1 Pro APL
1103
Apple M1 Pro processor est. 245 mm2
[232]
33.7 billion 3.23 GHz (6x Firestorm) + 2.064 GHz (2x Icestorm)
(8-core overall)
Performance Cores:
L1i: 192 kB L1d: 128 kB L2: 24 MB shared
Efficiency Cores: L1i:
128 kB L1d: 64 kB
L2: 4 MB shared
SLC: 32 MB
Apple-designed (14-core) @ 1296 MHz (224 EUs, 1792 ALUs) (4.58 TFLOPS peak)[235] LPDDR5
-6400 Dual-
channel
128-bit
(256-bit) @ 3200 MHz (204.8 GB/s)
October
26, 2021
MacBook Pro 14-inch (Late 2021)
MacBook Pro 16-inch (Late 2021)
macOS
Monterey
Current
3.23 GHz (8x Firestorm) + 2.064 GHz (2x Icestorm)
(10-core overall)
Apple-designed (16-core) @ 1296 MHz (256 EUs, 2048 ALUs) (5.3 TFLOPS peak)[235]
M1 Max APL
1104
Apple M1 Max processor est. 432 mm2
[232]
57 billion 3.23 GHz (8x Firestorm) + 2.064 GHz (2x Icestorm)
(10-core overall)
Performance Cores:
L1i: 192 kB L1d: 128 kB L2: 24 MB shared
Efficiency Cores: L1i:
128 kB L1d: 64 kB
L2: 4 MB shared
SLC: 64 MB
Apple-designed (24-core) @ 1296 MHz (384 EUs, 3072 ALUs) (7.83 TFLOPS peak)[235] LPDDR5
-6400 Quad-
channel
128-bit
(512-bit) @ 3200 MHz (409.6 GB/s)
Current
Apple-designed (32-core) @ 1296 MHz (512 EUs, 4096 ALUs) (10.6 TFLOPS peak)[235]
Name Model no. Image Semiconductor technology Die
size
Transistor count CPU ISA CPU CPU
cache
GPU FLOPS
FP32/FP16
AI accelerator Memory
bandwidth
First Released Utilizing
devices
Initial OS Terminal OS
Memory
technology

Miscellaneous[]

Model no. Image First
Released
CPU ISA Specs Application Utilizing
devices
Operating
system
339S0196 339S0196 microcontroller September 2012 Unknown

ARM

256 MB
RAM
Lightning to
HDMI conversion
Apple Digital
AV Adapter
XNU
Model
no.
Image First
Released
CPU ISA Specs Application Utilizing
devices
Operating
system

See also[]

  • Apple motion coprocessors
  • ARM Cortex-A9 MPCore
  • List of iOS and iPadOS devices
  • List of Samsung platforms (SoCs):
    • Exynos (none have been used by Apple)
    • historical (some were used in Apple products)
  • PowerVR SGX GPUs were also used in the iPhone 3GS and the third-generation iPod touch
  • PWRficient, a processor designed by P.A. Semi, a company Apple acquired to form an in-house custom chip design department

Similar platforms[]

  • A31 by AllWinner
  • Atom by Intel
  • BCM2xxxx by Broadcom
  • eMAG and Altra by Ampere Computing
  • Exynos by Samsung
  • i.MX by Freescale Semiconductor
  • Jaguar and Puma by AMD
  • Kirin by HiSilicon
  • MTxxxx by MediaTek
  • NovaThor by ST-Ericsson
  • OMAP by Texas Instruments
  • RK3xxx by Rockchip
  • Snapdragon by Qualcomm
  • Tegra by Nvidia

References[]

  1. ^ "Apple announces Mac transition to Apple silicon" (Press release). Apple. June 22, 2020. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
  2. ^ Warren, Tom (June 22, 2020). "Apple is switching Macs to its own processors starting later this year". The Verge. Archived from the original on June 22, 2020. Retrieved June 22, 2020.
  3. ^ "iMac 27-inch". Apple. Retrieved January 21, 2022.
  4. ^ "Mac Pro". Apple. Retrieved January 21, 2022.
  5. ^ "The Most Important Apple Executive You've Never Heard Of". Bloomberg News. Archived from the original on March 31, 2019. Retrieved June 18, 2016.
  6. ^ "iPhone 1st Generation Teardown". iFixit. June 29, 2007. Step 25. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  7. ^ a b c d e f g h i j Choi, Young (May 10, 2010). "Analysis gives first look inside Apple's A4 processor". EETimes. Archived from the original on September 15, 2013. Retrieved September 15, 2013.
  8. ^ a b Snell, Jason (November 25, 2008). "That iPod touch runs at 533 MHz". Macworld. Retrieved October 23, 2021.
  9. ^ "iPod Touch 2nd Generation Teardown". iFixit. September 10, 2008. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  10. ^ a b c Shimpi, Anand Lal (June 10, 2009). "The iPhone 3GS Hardware Exposed & Analyzed". AnandTech. Archived from the original on June 14, 2017. Retrieved September 13, 2013.
  11. ^ Lovejoy, Ben (July 18, 2016). "Apple reportedly dropping Samsung for not only A10 in iPhone 7 but also A11 in iPhone 8". 9to5Mac. Archived from the original on July 3, 2020. Retrieved July 1, 2020.
  12. ^ Clark, Don (April 5, 2010). "Apple iPad Taps Familiar Component Suppliers - WSJ.com". Online.wsj.com. Archived from the original on September 19, 2018. Retrieved April 15, 2010.
  13. ^ Boldt, Paul; Scansen, Don; Whibley, Tim (June 16, 2010). "Apple's A4 dissected, discussed...and tantalizing". EE Times. Retrieved October 22, 2021.
  14. ^ "Microsoft PowerPoint - Apple A4 vs SEC S5PC110A01" (PDF). Archived from the original (PDF) on July 4, 2010. Retrieved July 7, 2010.
  15. ^ a b "Apple Launches iPad" (Press release). Apple. January 27, 2010. Archived from the original on May 25, 2017. Retrieved January 28, 2010.
  16. ^ Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on June 23, 2020. Retrieved June 19, 2020. It's clear from both hardware and software that this is a single core processor, so it must be the ARM Cortex A8, and NOT the rumored multicore A9.
  17. ^ Melanson, Donald (February 23, 2010). "iPad confirmed to use PowerVR SGX graphics". Engadget. Archived from the original on December 29, 2012. Retrieved August 24, 2017.
  18. ^ a b c d "Chipworks Confirms Apple A4 iPad chip is fabbed by Samsung in their 45-nm process". Chipworks. April 15, 2010. Archived from the original on September 21, 2010.
  19. ^ "iPad - It's thin, light, powerful, and revolutionary". Apple. Archived from the original on July 6, 2010. Retrieved July 7, 2010.
  20. ^ "iPhone 4 design". Apple. July 6, 2010. Archived from the original on July 6, 2010.
  21. ^ Vance, Ashlee (February 21, 2010). "For Chip Makers, the Next Battle Is in Smartphones". New York Times. Archived from the original on February 25, 2010. Retrieved February 25, 2010.
  22. ^ Stokes, Jon (April 28, 2010). "Apple purchase of Intrinsity confirmed". Ars Technica. Archived from the original on April 28, 2010. Retrieved April 28, 2010.
  23. ^ Merritt, Rick. "Samsung, Intrinsity pump ARM to GHz rate". EE Times. Retrieved October 22, 2021.
  24. ^ Keizer, Gregg (April 6, 2010). "Apple's iPad twice as fast as iPhone 3GS, tests show". Computerworld. Retrieved October 22, 2021.
  25. ^ "iPad – Technical specifications". Apple. Archived from the original on February 15, 2015. Retrieved October 16, 2016.
  26. ^ "Apple iPad 2 GPU Performance Explored: PowerVR SGX543MP2 Benchmarked - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on March 18, 2011. Retrieved March 15, 2011.
  27. ^ "Teardown of Apple's 4th-gen iPod touch finds 256MB of RAM". Appleinsider.com. September 8, 2010. Archived from the original on September 11, 2010. Retrieved September 10, 2010.
  28. ^ "Apple TV 2nd Generation Teardown". iFixit. September 30, 2010. Archived from the original on June 23, 2020. Retrieved June 19, 2020.
  29. ^ "Apple reveals iPhone 4 has 512MB RAM, doubling iPad - report". AppleInsider. June 17, 2010. Archived from the original on July 4, 2010. Retrieved July 7, 2010.
  30. ^ "A Peek Inside Apple's A4 Processor". iFixit. April 5, 2010. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  31. ^ Greenberg, Marc (April 9, 2010). "Apple iPad: no LPDDR2?". Denali. Archived from the original on February 26, 2019. Retrieved February 26, 2019.
  32. ^ Merritt, Rick (April 9, 2010). "iPad equipped to deliver richer graphics". EE Times Asia. Archived from the original on September 27, 2011. Retrieved April 14, 2010.
  33. ^ "Updated: Samsung fabs Apple A5 processor". EETimes.com. March 12, 2011. Archived from the original on May 9, 2013. Retrieved March 15, 2011.
  34. ^ "Apple announces redesigned iPad 2: A5 CPU, 2 cameras, ships March 11". AppleInsider. Archived from the original on June 23, 2020. Retrieved June 13, 2020.
  35. ^ "Apple iPad 2 feature page". Apple.com. Archived from the original on March 16, 2011. Retrieved March 15, 2011.
  36. ^ a b "Apple iPad 2 Preview - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Archived from the original on December 13, 2017. Retrieved March 15, 2011.
  37. ^ "iPad 2 - Technical Specifications". Apple. Archived from the original on February 13, 2015. Retrieved October 16, 2016.
  38. ^ "Inside Apple's iPad 2 A5: fast LPDDR2 RAM, costs 66% more than Tegra 2". AppleInsider. Archived from the original on December 29, 2012. Retrieved March 15, 2011.
  39. ^ a b c "A First Look at Apple's A5 Processor". Chipworks. March 12, 2011. Archived from the original on November 1, 2013. Retrieved September 15, 2013.
  40. ^ a b c "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". Chipworks. April 11, 2012. Archived from the original on October 24, 2013. Retrieved September 15, 2013.
  41. ^ "Single-core A5 CPU in new 1080p Apple TV doubles RAM to 512MB". AppleInsider. Archived from the original on March 20, 2012. Retrieved March 19, 2012.
  42. ^ "Update – 32-nm Apple A5 in the Apple TV 3 – and an iPad 2!". ChipWorks. April 11, 2012. Archived from the original on April 13, 2012. Retrieved April 12, 2012.
  43. ^ "The iPad 2,4 Review: 32nm Brings Better Battery Life". AnandTech. Archived from the original on November 11, 2012. Retrieved November 1, 2012.
  44. ^ "A5 Chip in Tweaked Apple TV Still Manufactured by Samsung at 32nm". Archived from the original on March 14, 2013. Retrieved March 12, 2013.
  45. ^ "Tweaked Apple TV Contains Die-Shrunk A5 Chip, Not A5X". Archived from the original on March 10, 2013. Retrieved March 10, 2013.
  46. ^ a b c "Apple's TV surprise – a new A5 chip!". Chipworks. March 12, 2013. Archived from the original on November 10, 2013. Retrieved September 15, 2013.
  47. ^ "Apple Launches New iPad". Apple. March 7, 2012. Archived from the original on March 8, 2012. Retrieved September 17, 2013.
  48. ^ a b c "The Apple A5X versus the A5 and A4 – Big Is Beautiful". Chipworks. March 19, 2012. Archived from the original on December 5, 2013. Retrieved September 15, 2013.
  49. ^ "Apple A5X Die Size Measured: 162.94mm^2, Samsung 45nm LP Confirmed". AnandTech. Archived from the original on January 2, 2013. Retrieved November 1, 2012.
  50. ^ "The Frequency of Apple A5X in the New iPad Confirmed: Still Running at 1GHz". AnandTech. Archived from the original on October 31, 2012. Retrieved November 1, 2012.
  51. ^ "iPad 3 4G Teardown". iFixit. March 15, 2012. Step 15. Archived from the original on June 21, 2020. Retrieved June 19, 2020.
  52. ^ Apple Introduces iPhone 5, Apple.com, September 12, 2012, archived from the original on January 30, 2017, retrieved September 20, 2012
  53. ^ "Apple: A6 chip in iPhone 5 has 2x CPU power, 2x graphics performance, yet consumes less energy". Archived from the original on September 14, 2013. Retrieved August 24, 2017.
  54. ^ Apple's A6 CPU actually clocked at around 1.3 GHz, per new Geekbench report, Engadget, September 26, 2012, archived from the original on September 29, 2012, retrieved September 26, 2012
  55. ^ a b c Shimpi, Anand Lal (September 15, 2012). "The iPhone 5's A6 SoC: Not A15 or A9, a Custom Apple Core Instead". AnandTech. Archived from the original on December 29, 2012. Retrieved September 15, 2012.
  56. ^ Shimpi, Anand Lal; Klug, Brian; Gowri, Vivek (October 16, 2012). "The iPhone 5 Review - Decoding Swift". AnandTech. Archived from the original on November 24, 2012. Retrieved October 17, 2012.
  57. ^ a b "Apple A6 Die Revealed: 3-core GPU, <100mm^2". AnandTech. September 21, 2012. Archived from the original on September 22, 2012. Retrieved September 22, 2012.
  58. ^ a b c "Apple iPhone 5 – the A6 Application Processor". Chipworks. September 21, 2012. Archived from the original on September 22, 2013. Retrieved September 15, 2013.
  59. ^ "Apple Introduces iPad mini". Apple. October 23, 2012. Archived from the original on September 12, 2013. Retrieved September 16, 2013.
  60. ^ a b c d Shimpi, Anand Lal (November 2, 2012). "iPad 4 GPU Performance Analyzed: PowerVR SGX 554MP4 Under the Hood". AnandTech. Archived from the original on September 22, 2013. Retrieved September 16, 2013.
  61. ^ a b c d "Inside the Apple iPad 4 – A6X a very new beast!". Chipworks. November 1, 2012. Archived from the original on May 18, 2015. Retrieved September 15, 2013.
  62. ^ "Apple Announces iPhone 5s—The Most Forward-Thinking Smartphone in the World". Apple. September 10, 2013. Archived from the original on September 13, 2013. Retrieved September 13, 2013.
  63. ^ Crothers, Brooke. "iPhone 5S' A7 chip is first 64-bit processor for smartphones". CNET. Archived from the original on February 22, 2020. Retrieved July 1, 2020.
  64. ^ a b c d Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: A7 SoC Explained". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  65. ^ a b c Shimpi, Anand Lal (October 29, 2013). "The iPad Air Review: iPhone to iPad: CPU Changes". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
  66. ^ a b c Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: The Move to 64-bit". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  67. ^ a b c d e f Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: After Swift Comes Cyclone". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  68. ^ Lattner, Chris (September 10, 2013). "[LLVMdev] A7 processor support?". llvm-dev (Mailing list). Archived from the original on September 24, 2015. Retrieved July 9, 2017.
  69. ^ a b Shimpi, Anand Lal (September 17, 2013). "The iPhone 5s Review: GPU Architecture". AnandTech. Archived from the original on September 21, 2013. Retrieved September 18, 2013.
  70. ^ Cunningham, Andrew (September 10, 2013). "Apple unveils 64-bit iPhone 5S with fingerprint scanner, $199 for 16GB". Ars Technica. Archived from the original on September 12, 2013. Retrieved September 12, 2013.
  71. ^ a b Tanner, Jason; Morrison, Jim; James, Dick; Fontaine, Ray; Gamache, Phil (September 20, 2013). "Inside the iPhone 5s". Chipworks. Archived from the original on August 3, 2014. Retrieved September 20, 2013.
  72. ^ "Apple Announces iPhone 6 & iPhone 6 Plus—The Biggest Advancements in iPhone History" (Press release). Apple. September 9, 2014. Archived from the original on September 9, 2014. Retrieved September 9, 2014.
  73. ^ Savov, Vlad (September 9, 2014). "iPhone 6 and iPhone 6 Plus have a new faster A8 processor". The Verge. Vox Media. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
  74. ^ "HomePod Teardown". iFixit. February 12, 2018. Archived from the original on February 12, 2018. Retrieved February 13, 2018.
  75. ^ a b c d e "The iPhone 6 Review: A8's CPU: What Comes After Cyclone?". AnandTech. September 30, 2014. Archived from the original on May 15, 2015. Retrieved September 30, 2014.
  76. ^ a b c d "The iPhone 6 Review: A8: Apple's First 20nm SoC". AnandTech. September 30, 2014. Archived from the original on October 1, 2014. Retrieved September 30, 2014.
  77. ^ a b c d e f g Kanter, David. "A Look Inside Apple's Custom GPU for the iPhone". Archived from the original on August 27, 2019. Retrieved August 27, 2019.
  78. ^ Smith, Ryan (September 9, 2014). "Apple Announces A8 SoC". AnandTech. Archived from the original on September 10, 2014. Retrieved September 9, 2014.
  79. ^ "Inside the iPhone 6 and iPhone 6 Plus". Chipworks. September 19, 2014. Archived from the original on September 24, 2014. Retrieved September 20, 2014.
  80. ^ Anthony, Sebastian. "Apple's A8 SoC analyzed: The iPhone 6 chip is a 2-billion-transistor 20nm monster". www.extremetech.com. ExtremeTech. Archived from the original on September 11, 2014. Retrieved September 10, 2014.
  81. ^ a b "Apple Introduces iPad Air 2—The Thinnest, Most Powerful iPad Ever" (Press release). Apple. October 16, 2014. Archived from the original on October 18, 2014. Retrieved October 16, 2014.
  82. ^ "iPad Air 2 - Performance". Apple. October 16, 2014. Archived from the original on October 16, 2014. Retrieved October 16, 2014.
  83. ^ a b c d e f g h "Apple A8X's GPU - GXA6850, Even Better Than I Thought". Anandtech. November 11, 2014. Archived from the original on November 30, 2014. Retrieved November 12, 2014.
  84. ^ a b "Apple Introduces iPhone 6s & iPhone 6s Plus" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
  85. ^ "Apple Introduces iPad Pro Featuring Epic 12.9-inch Retina Display" (Press release). Apple. September 9, 2015. Archived from the original on September 11, 2015. Retrieved September 9, 2015.
  86. ^ "Apple's new iPad Pro is an expansive 12.9 inches, available in November". Ars Technica. September 9, 2015. Archived from the original on March 24, 2017. Retrieved September 9, 2015.
  87. ^ "Apple Introduces iPhone 7 & iPhone 7 Plus —The Best, Most Advanced iPhone Ever" (Press release). Apple Inc. September 7, 2016. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
  88. ^ "iPod touch". Apple. Archived from the original on October 24, 2017. Retrieved August 15, 2019.
  89. ^ a b c "iPad Pro, in 10.5-inch and 12.9-inch models, introduces the world's most advanced display and breakthrough performance" (Press release). Apple Inc. June 5, 2017. Archived from the original on June 5, 2017. Retrieved June 5, 2017.
  90. ^ a b c d Wei, Andy (June 29, 2017). "10 nm Process Rollout Marching Right Along". TechInsights. Archived from the original on August 3, 2017. Retrieved June 30, 2017.
  91. ^ a b c "iPhone 8 and iPhone 8 Plus: A new generation of iPhone" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 12, 2017. Retrieved September 12, 2017.
  92. ^ "iPhone 8:A11 Bionic". Apple Inc. September 12, 2017. Archived from the original on November 1, 2017. Retrieved September 12, 2017.
  93. ^ "Apple's 'Neural Engine' Infuses the iPhone With AI Smarts". Wired. ISSN 1059-1028. Archived from the original on March 30, 2018. Retrieved July 1, 2020.
  94. ^ "A12 Bionic". Apple Inc. September 12, 2018. Archived from the original on November 16, 2018. Retrieved November 22, 2018.
  95. ^ a b Summers, Nick (September 12, 2018). "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
  96. ^ "iPhone Xs and iPhone Xs Max bring the best and biggest displays to iPhone" (Press release). Apple Inc. September 12, 2018. Archived from the original on April 27, 2019. Retrieved September 12, 2018.
  97. ^ Smith, Ryan (September 12, 2018). "Apple Announces the 2018 iPhones: iPhone XS, iPhone XS Max, & iPhone XR". AnandTech. Archived from the original on September 13, 2018. Retrieved September 12, 2018.
  98. ^ "New iPad Pro with all-screen design Is most advanced, powerful iPad ever" (Press release). Apple. October 30, 2018. Archived from the original on October 30, 2018. Retrieved October 30, 2018.
  99. ^ Miller, Chance (March 18, 2020). "Apple unveils new iPad Pro with backlit Magic Keyboard case, available to order today". 9to5Mac. Archived from the original on March 18, 2020. Retrieved March 18, 2020.
  100. ^ Welch, Chris (June 22, 2020). "Apple announces Mac mini powered by its own chips for developers". The Verge. Archived from the original on June 22, 2020. Retrieved June 23, 2020.
  101. ^ "Apple A13 Bionic: iPhone 11 processor features and specs detailed". Trusted Reviews. September 10, 2019. Retrieved August 19, 2020.
  102. ^ Alderson, Alex (September 15, 2020). "Apple unveils the A14 Bionic, the world's first 5 nm chipset with 11.8 billion transistors and sizeable performance gains over the A13 Bionic". Notebookcheck.
  103. ^ Shankland, Stephen (September 15, 2021). "Apple's A15 Bionic chip powers iPhone 13 with 15 billion transistors". CNet.
  104. ^ "iPhone 13 Pro: A15 Bionic with 5-core GPU for Best-in-Class Performance". videocardz.com. September 15, 2021.
  105. ^ Kleinman, Jacob (September 9, 2014). "Apple Watch Uses a New S1 Chip & Heart Rate Monitor". Archived from the original on September 10, 2014. Retrieved September 10, 2014.
  106. ^ We Just Took Apart the Apple Watch Series 1—Here’s What We Found Out
  107. ^ Apple Introduces Apple Watch Series 2, The Ultimate Device For A Healthy Life
  108. ^ a b "Apple introduces Apple Watch Series 2". Archived from the original on November 16, 2017. Retrieved February 11, 2018.
  109. ^ Benjamin, Jeff (October 4, 2016). "PSA: The Apple Watch Series 1 is just as fast as Series 2". 9to5mac.com.
  110. ^ a b c d "Apple Watch Series 3 brings built-in cellular, powerful new health and fitness enhancements" (Press release). Apple Inc. September 12, 2017. Archived from the original on September 13, 2017. Retrieved September 13, 2017.
  111. ^ "Apple Watch Series 3 features built-in cellular and more". Apple Newsroom. Retrieved September 16, 2017.
  112. ^ "Apple Watch S4 SoC Process Node".
  113. ^ "Yeah. The S4 Apple watch SoC is actually using Two Tempest (LITTLE) cores. Pret... | Hacker News". news.ycombinator.com. Retrieved September 18, 2019.
  114. ^ "watchOS - Apple Developer". developer.apple.com. Retrieved September 18, 2019.
  115. ^ Frumusanu, Andrei. "Apple Announces The Apple Watch 4: Fully Custom SiP". www.anandtech.com. Retrieved September 18, 2019.
  116. ^ Troughton-Smith, Steve (October 2, 2018). "Ok we may not have an Apple Watch benchmark, but holy shit I can do 60fps physically-based Metal rendering and realtime physics on the Series 4 pic.twitter.com/GXza08pgIP". @stroughtonsmith. Retrieved September 18, 2019.
  117. ^ "Apple introduces HomePod mini: A powerful smart speaker with amazing sound" (Press release). Apple Inc. October 13, 2020. Retrieved October 13, 2020.
  118. ^ Troughton-Smith, Steve [@stroughtonsmith] (September 18, 2019). "According to Xcode, Apple Watch Series 5 has the same generation CPU/GPU as the Apple Watch Series 4; I guess the only changes are a gyro and 32GB of NAND? The plus side of that is that we won't have to worry about watchOS being slower on the Series 4 than on a brand new model" (Tweet) – via Twitter.
  119. ^ a b "Apple Watch Series 6 delivers breakthrough wellness and fitness capabilities" (Press release). Apple Inc. September 15, 2020. Retrieved September 19, 2020.
  120. ^ a b c d e "Apple Watch - Compare Models". Apple. Retrieved September 17, 2020.
  121. ^ "Qualcomm Snapdragon Wear 4100 vs 3100 vs 2100 [Plus Comparison with Exynos vs Apple s5]". September 29, 2021.
  122. ^ Fathi, Sami (September 15, 2021). "Apple Watch Series 7 Tidbits: S7 Chip, Storage Remains 32GB, USB-C Fast Charging Cable in the Box, and More". Mac Rumors. Retrieved September 15, 2021.
  123. ^ Cunningham, Andrew (October 28, 2016). "15 hours with the 13" MacBook Pro, and how Apple's T1 bridges ARM and Intel". Ars Technica. Archived from the original on April 14, 2017. Retrieved December 4, 2018.
  124. ^ Smith, Ryan (October 27, 2016). "Apple Announces 4th Generation MacBook Pro Family: Thinner, Lighter, with Thunderbolt 3 & "Touchbar"". Anandtech. Archived from the original on October 29, 2016. Retrieved October 27, 2016.
  125. ^ Parrish, Kevin (July 24, 2018). "Apple's T2 chip may be causing issues in iMac Pro and 2018 MacBook Pros". DigitalTrends. Archived from the original on September 18, 2018. Retrieved January 22, 2019. Of all the error messages uploaded to these threads, there is one detail they seem to share: Bridge OS. This is an embedded operating system used by Apple's stand-alone T2 security chip, which provides the iMac Pro with a secure boot, encrypted storage, live “Hey Siri” commands, and so on.
  126. ^ Troughton-Smith, Steven [@stroughtonsmith] (October 27, 2016). "And there you have it. Apple's T1 chip runs an iOS (technically watchOS for armv7k) variant" (Tweet) – via Twitter.
  127. ^ "iMac Pro Features Apple's Custom T2 Chip With Secure Boot Capabilities". MacRumors. December 14, 2017. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  128. ^ Evans, Jonny (July 23, 2018). "The MacBook Pro's T2 chip boosts enterprise security". ComputerWorld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  129. ^ "The T2 chip makes the iMac Pro the start of a Mac revolution". Macworld. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  130. ^ "iMac Pro debuts custom Apple T2 chip to handle secure boot, password encryption, more". AppleInsider. December 12, 2017. Archived from the original on December 13, 2017. Retrieved December 14, 2017.
  131. ^ "Everything you need to know about Apple's T2 chip in the 2018 MacBook Pro". AppleInsider. August 8, 2018. Archived from the original on August 18, 2018. Retrieved August 18, 2018.
  132. ^ Tilley, Aaron. "Apple Creates Its First Wireless Chip For New Wireless Headphones, AirPods". Forbes. Archived from the original on April 9, 2018. Retrieved August 24, 2017.
  133. ^ "Apple Announces New Line of Beats Headphones With W1 Wireless Chip". MacRumors. Archived from the original on September 10, 2016. Retrieved September 8, 2016.
  134. ^ "Apple's AirPods do use Bluetooth and they don't require an iPhone 7". Recode. September 7, 2016. Archived from the original on September 8, 2016. Retrieved September 8, 2016.
  135. ^ "AirPods". Apple Inc. Archived from the original on September 18, 2017. Retrieved September 8, 2017.
  136. ^ "Apple Watch Series 4". Apple Inc. Archived from the original on September 12, 2018. Retrieved September 13, 2018.
  137. ^ "Apple Watch - Compare Models". Apple Inc. Archived from the original on July 12, 2017. Retrieved September 13, 2018.
  138. ^ Mayo, Benjamin (March 20, 2019). "New Apple AirPods now available: H1 chip, wireless charging case, hands-free Hey Siri". 9to5Mac. Archived from the original on March 21, 2019. Retrieved March 20, 2019.
  139. ^ "AirPods, the world's most popular wireless headphones, are getting even better". Apple Newsroom. Apple Inc. Archived from the original on June 21, 2019. Retrieved March 21, 2019.
  140. ^ "AirPods (2nd generation)". Apple. The H1 chip also drives voice-enabled Siri access and delivers up to 30 percent lower gaming latency.
  141. ^ "AirTag". Apple.
  142. ^ "Apple M1 Chip". Apple. November 10, 2020. Archived from the original on November 10, 2020. Retrieved November 10, 2020.
  143. ^ https://www.apple.com/apple-events/october-2021/ 20 minutes in
  144. ^ "The Lightning Digital AV adapter surprise". Panic.com. March 1, 2013. Retrieved January 16, 2021.
  145. ^ "User comment: Airplay is not involved in the operation of this adapter". Panic.com. March 2, 2013. Retrieved January 16, 2021.
  146. ^ Shimpi, Anand Lal; Klug, Brian (October 31, 2011). "Apple iPhone 4S: Thoroughly Reviewed - The Memory Interface". AnandTech. Archived from the original on November 29, 2013. Retrieved September 15, 2013.
  147. ^ Wiens, Kyle (April 5, 2010). "Apple A4 Teardown". iFixit. Step 20. Archived from the original on August 10, 2013. Retrieved April 15, 2010. cIt's quite challenging to identify block-level logic inside a processor, so to identify the GPU we're falling back to software: early benchmarks are showing similar 3D performance to the iPhone, so we're guessing that the iPad uses the same PowerVR SGX 535 GPU.
  148. ^ "iPhone 5 Benchmarks Appear in Geekbench Showing a Dual Core, 1GHz A6 CPU". Archived from the original on September 18, 2012. Retrieved September 16, 2012.
  149. ^ a b Shimpi, Anand Lal (September 2012). "The iPhone 5 Performance Preview". AnandTech. Archived from the original on December 29, 2012. Retrieved October 24, 2012.
  150. ^ "The Apple iPad Review (2012)". AnandTech. Archived from the original on November 5, 2012. Retrieved November 1, 2012.
  151. ^ a b "Apple A6 Teardown". iFixit. September 25, 2012. Archived from the original on June 18, 2020. Retrieved June 19, 2020.
  152. ^ "Xcode 6 drops armv7s". Cocoanetics. October 10, 2014. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  153. ^ "The iPhone 5 Performance Preview". AnandTech. Archived from the original on December 29, 2012. Retrieved November 1, 2012.
  154. ^ Shimpi, Anand Lal; Klug, Brian (September 15, 2012). "iPhone 5 Memory Size and Speed Revealed: 1 GB LPDDR2-1066". AnandTech. Archived from the original on December 29, 2012. Retrieved September 16, 2012.
  155. ^ a b Lai Shimpi, Anand (October 29, 2013). "The iPad Air Review: GPU Performance". AnandTech. Archived from the original on November 1, 2013. Retrieved October 30, 2013.
  156. ^ "iPad 4 (Late 2012) Review". AnandTech. Archived from the original on May 30, 2013. Retrieved July 10, 2013.
  157. ^ a b "Inside the iPad Air". Chipworks. November 1, 2013. Archived from the original on May 8, 2015. Retrieved November 12, 2013.
  158. ^ a b c d "Correcting Apple's A9 SoC L3 Cache Size: A 4MB Victim Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
  159. ^ a b "The Apple iPad Air 2 Review". AnandTech. Archived from the original on November 12, 2014. Retrieved November 12, 2014.
  160. ^ "Apple's A8 SoC analyzed". ExtremeTech. September 10, 2014. Archived from the original on September 11, 2014. Retrieved September 11, 2014.
  161. ^ a b "Imagination PowerVR GXA6850 - NotebookCheck.net Tech". NotebookCheck.net. November 26, 2014. Archived from the original on November 29, 2014. Retrieved November 26, 2014.
  162. ^ a b Chester, Brandon (July 15, 2015). "Apple Refreshes The iPod Touch With A8 SoC And New Cameras". Archived from the original on September 5, 2015. Retrieved September 11, 2015.
  163. ^ "Chipworks Disassembles Apple's A8 SoC: GX6450, 4MB L3 Cache & More". AnandTech. September 23, 2014. Archived from the original on September 23, 2014. Retrieved September 23, 2014.
  164. ^ "Imagination PowerVR GX6450". NOTEBOOKCHECK. September 23, 2014. Archived from the original on September 25, 2014. Retrieved September 24, 2014.
  165. ^ Ho, Joshua (September 9, 2015). "Apple Announces the iPhone 6s and iPhone 6s Plus". Archived from the original on September 10, 2015. Retrieved September 10, 2015.
  166. ^ a b c "Apple's A9 SoC Is Dual Sourced From Samsung & TSMC". Anandtech. September 28, 2015. Archived from the original on September 30, 2015. Retrieved September 29, 2015.
  167. ^ "iPhone 6s customer receives her device early, benchmarks show a marked increase in power". iDownloadBlog. September 21, 2015. Archived from the original on September 24, 2015. Retrieved September 25, 2015.
  168. ^ a b "A9's CPU: Twister - The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on January 18, 2016. Retrieved November 4, 2015.
  169. ^ a b "Inside the iPhone 6s". Chipworks. September 25, 2015. Archived from the original on February 3, 2017. Retrieved September 26, 2015.
  170. ^ "A9's GPU: Imagination PowerVR GT7600 - The Apple iPhone 6s and iPhone 6s Plus Review". AnandTech. November 2, 2015. Archived from the original on November 5, 2015. Retrieved November 4, 2015.
  171. ^ a b c d "More on Apple's A9X SoC: 147mm2@TSMC, 12 GPU Cores, No L3 Cache". AnandTech. November 30, 2015. Archived from the original on December 1, 2015. Retrieved December 1, 2015.
  172. ^ a b techinsights.com. "Apple iPhone 7 Teardown". www.chipworks.com. Archived from the original on September 16, 2016. Retrieved September 16, 2016.
  173. ^ "The A9X SoC & More To Come - The iPad Pro Preview: Taking Notes With iPad Pro". AnandTech. November 11, 2015. Archived from the original on November 13, 2015. Retrieved November 11, 2015.
  174. ^ "iPad Pro review: Mac-like speed with all the virtues and restrictions of iOS". AnandTech. November 11, 2015. Archived from the original on November 11, 2015. Retrieved November 11, 2015.
  175. ^ "Kernel Changes for Objective-C". developer.apple.com. Archived from the original on August 8, 2017. Retrieved October 1, 2016.
  176. ^ "Intel Core i5-8250U vs Apple A10 Fusion". GadgetVersus. Archived from the original on December 27, 2019. Retrieved December 27, 2019.
  177. ^ "iPhone 7 GPU breakdown". Wccftech. December 2016. Archived from the original on December 5, 2016. Retrieved February 1, 2017.
  178. ^ Agam Shah (December 2016). "The mysteries of the GPU in Apple's iPhone 7 are unlocked". PC World. Archived from the original on January 28, 2017. Retrieved February 1, 2017.
  179. ^ a b "iPad Pro 10.5" Teardown". iFixit. June 13, 2017. Archived from the original on June 17, 2017. Retrieved June 14, 2017.
  180. ^ a b c Smith, Ryan (June 29, 2017). "TechInsights Confirms Apple's A10X SoC Is TSMC 10nm FF; 96.4mm2 Die Size". AnandTech. Archived from the original on July 2, 2017. Retrieved June 30, 2017.
  181. ^ "Measured and Estimated Cache Sizes". AnandTech. October 5, 2018. Archived from the original on October 6, 2018. Retrieved October 6, 2018.
  182. ^ "Apple iPhone 8 Plus Teardown". TechInsights. September 27, 2017. Archived from the original on September 27, 2017. Retrieved September 28, 2017.
  183. ^ "Apple A11 New Instruction Set Extensions" (PDF). Apple Inc. June 8, 2018. Archived (PDF) from the original on October 8, 2018. Retrieved October 9, 2018.
  184. ^ techinsights.com. "Apple iPhone 8 Plus Teardown". techinsights.com. Archived from the original on October 9, 2018. Retrieved October 9, 2018.
  185. ^ "MT53D384M64D4NY-046 XT:D Micron Technology Inc. | Integrated Circuits (ICs) | DigiKey". www.digikey.com. Archived from the original on October 9, 2018. Retrieved October 9, 2018.
  186. ^ a b "New iPhone XS, XS Max and XR benchmarked, RAM revealed". GSMArena.com. Archived from the original on September 13, 2018. Retrieved September 13, 2018.
  187. ^ "iPad Pro (11-inch)". Geekbench Browser. January 28, 2019. Archived from the original on January 29, 2019. Retrieved January 28, 2019.
  188. ^ "The Apple A13 SoC: Lightning & Thunder". AnandTech. October 27, 2019. Archived from the original on October 26, 2019. Retrieved October 27, 2019.
  189. ^ "Apple iPhone Xs Max Teardown". TechInsights. September 21, 2018. Archived from the original on September 21, 2018. Retrieved September 21, 2018.
  190. ^ "Apple A12 Pointer Authentication Codes". Jonathan Levin, @Morpheus. September 12, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  191. ^ "The Packaging of Apple's A12X is… Weird". Dick James of Chipworks. January 16, 2019. Archived from the original on January 29, 2019. Retrieved January 28, 2019.
  192. ^ "Apple iPhone 11 Pro Max Teardown | TechInsights". www.techinsights.com. Archived from the original on September 27, 2019. Retrieved September 27, 2019.
  193. ^ "A13 has ARMv8.4, apparently (LLVM project sources, thanks, @Longhorn)". Jonathan Levin, @Morpheus. March 13, 2020. Archived from the original on March 10, 2020. Retrieved March 13, 2020.
  194. ^ a b Cross, Jason (October 14, 2020). "A14 Bionic FAQ: What you need to know about Apple's 5nm processor". Macworld. Retrieved April 2, 2021.
  195. ^ "Apple A13 Bionic". Archived from the original on July 8, 2020. Retrieved July 7, 2020.
  196. ^ a b c "Apple iPhone 13 Pro Teardown | TechInsights". www.techinsights.com.
  197. ^ Patel, Dylan (October 27, 2020). "Apple's A14 Packs 134 Million Transistors/mm², but Falls Short of TSMC's Density Claims". SemiAnalysis. Retrieved October 29, 2020.
  198. ^ a b Frumusanu, Andrei (November 30, 2020). "The iPhone 12 & 12 Pro Review: New Design and Diminishing Returns". Anandtech. Retrieved April 2, 2021.
  199. ^ Cross, Jason (October 24, 2020). "A14 Bionic FAQ: What you need to know about Apple's 5nm processor". Macworld. Retrieved April 2, 2021.
  200. ^ "All-new iPad Air with advanced A14 Bionic chip available to order starting today". Apple. October 16, 2020.
  201. ^ Frumusanu, Andrei (September 15, 2020). "Apple Announces new 8th gen iPad with A12, iPad Air with 5nm A14 Chip". Anandtech. Retrieved April 7, 2021.
  202. ^ Frumusanu, Andrei (October 4, 2021). "The Apple A15 SoC Performance Review: Faster & More Efficient". Anandtech. Retrieved October 15, 2021.
  203. ^ Sohail, Omar (September 16, 2021). "iPhone 13 With 4-Core GPU Scores Significantly Less Than iPhone 13 Pro; Only 15 Percent Higher Than iPhone 12 Pro". Wccftech. Retrieved September 17, 2021.
  204. ^ "Discover advances in Metal for A15 Bionic - Tech Talks - Videos".
  205. ^ Roberts (September 18, 2021). "Discover advances in Metal for A15 Bionic". developer.apple.com. Retrieved November 12, 2021.
  206. ^ Sohail (September 15, 2021). "iPhone 13 Pro With 5-Core GPU Obtains a Remarkable 55 Percent Performance Increase Over iPhone 12 Pro". wccftech. Retrieved September 19, 2021.
  207. ^ Roberts (September 18, 2021). "Discover advances in Metal for A15 Bionic". developer.apple.com. Retrieved November 12, 2021.
  208. ^ "Teardown shows Apple Watch S1 chip has custom CPU, 512MB RAM, 8GB storage". AppleInsider. Archived from the original on May 2, 2015. Retrieved April 30, 2015.
  209. ^ a b Jim Morrison and Daniel Yang (April 24, 2015). "Inside the Apple Watch: Technical Teardown". Chipworks. Archived from the original on May 18, 2015. Retrieved May 8, 2015.{{cite web}}: CS1 maint: uses authors parameter (link)
  210. ^ a b c d e f Ho, Joshua; Chester, Brandon (July 20, 2015). "The Apple Watch Review: Apple S1 Analysis". AnandTech. Archived from the original on July 22, 2015. Retrieved July 20, 2015.
  211. ^ "Steve Troughton-Smith on Twitter". Archived from the original on March 3, 2016. Retrieved June 25, 2015.
  212. ^ "Apple Watch runs 'most' of iOS 8.2, may use A5-equivalent processor". AppleInsider. Archived from the original on April 26, 2015. Retrieved April 25, 2015.
  213. ^ Ho, Joshua; Chester, Brandon (July 20, 2015). "The Apple Watch Review". AnandTech. Archived from the original on July 20, 2015. Retrieved July 20, 2015.
  214. ^ a b c Chester, Brandon (December 20, 2016). "The Apple Watch Series 2 Review: Building Towards Maturity". AnandTech. Archived from the original on October 22, 2017. Retrieved February 10, 2018.
  215. ^ "We Just Took Apart the Apple Watch Series 1—Here's What We Found Out". Archived from the original on January 24, 2018. Retrieved January 5, 2018.
  216. ^ "Apple CPU Architectures". Jonathan Levin, @Morpheus. September 20, 2018. Archived from the original on October 10, 2018. Retrieved October 9, 2018.
  217. ^ "ILP32 for AArch64 Whitepaper". ARM Limited. June 9, 2015. Archived from the original on December 30, 2018. Retrieved October 9, 2018.
  218. ^ a b "Apple devices in 2018". woachk, security researcher. October 6, 2018.
  219. ^ "MacBook Pro 13" Touch Bar Teardown". iFixit. November 15, 2016. Archived from the original on November 16, 2016. Retrieved November 17, 2016.
  220. ^ "iMac Pro Teardown". iFixit. January 2, 2018. Archived from the original on January 3, 2018. Retrieved January 3, 2018.
  221. ^ a b c d e Boldt, Paul (July 11, 2021). "Apple's Orphan Silicon". SemiWiki. Retrieved July 18, 2021.
  222. ^ a b c techinsights.com. "Apple W1 343S00131 Bluetooth Module". w2.techinsights.com. Archived from the original on February 18, 2017. Retrieved February 17, 2017.
  223. ^ techinsights.com. "Apple Watch Series 3 Teardown". techinsights.com. Archived from the original on October 14, 2017. Retrieved October 14, 2017.
  224. ^ techinsights.com. "Apple W3 338S00464 Wireless Combo SoC Basic Functional Analysis". techinsights.com. Archived from the original on March 28, 2020. Retrieved March 28, 2020.
  225. ^ "AirPods 2 Teardown". iFixit. March 28, 2019. Archived from the original on April 4, 2019. Retrieved April 4, 2019.
  226. ^ "H2 Audio AirPods 2 Teardown". 52 Audio. April 26, 2019. Archived from the original on March 29, 2020. Retrieved March 29, 2020.
  227. ^ "AirPods Max Teardown". iFixit. December 17, 2020. Retrieved January 3, 2021.
  228. ^ "AirPods Pro Teardown". iFixit. August 31, 2019. Retrieved January 6, 2021.
  229. ^ "Solo Pro". Beats by Dre. Archived from the original on October 15, 2019. Retrieved October 15, 2019.
  230. ^ "Apple U1 TMKA75 Ultra Wideband (UWB) Chip Analysis | TechInsights". www.techinsights.com. Retrieved July 30, 2020.
  231. ^ @ghidraninja. "Yesss!!! After hours of trying (and bricking 2 AirTags) I managed to break into the microcontroller of the AirTag!". Twitter.
  232. ^ a b c Frumusanu, Andrei (October 18, 2021). "Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance". AnandTech.
  233. ^ a b "Apple Announces The Apple Silicon M1: Ditching x86 - What to Expect, Based on A14". Andrei Frumusanu. Retrieved November 10, 2020.
  234. ^ "The 2020 Mac Mini Unleashed: Putting Apple Silicon M1 To The Test". Andrei Frumusanu. Retrieved April 3, 2021.
  235. ^ a b c d "Apple's M1 Pro, M1 Max SoCs Investigated: New Performance and Efficiency Heights". Andrei Frumusanu. Retrieved November 20, 2021.

Further reading[]

Retrieved from ""