Icarus Verilog

From Wikipedia, the free encyclopedia
Icarus Verilog
Icarus Verilog logo2.png
Developer(s)Stephen Williams
Stable release
11.0 / 12 October 2020; 16 months ago (2020-10-12)
Repository
Written inC++
Operating systemLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X
PlatformCross-platform
Available inEnglish
TypeVerilog Simulator
LicenseGPL-2.0-or-later
Websitehttp://iverilog.icarus.com/

Icarus Verilog is an implementation of the Verilog hardware description language. It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions.

Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software.

As of release 0.9, Icarus is composed of a Verilog compiler (including a Verilog preprocessor) with support for plug-in backends, and a virtual machine that simulates the design. Release v10.0, besides general improvements and bug fixes, adds preliminary support for VHDL.

History[]

Not even the author quite remembers when the project was first started, but CVS records go back to 1998. There have been releases 0.2 through the current stable release 10.0.

Icarus Verilog development is done largely by the sole regular author, Stephen Williams. Some non-trivial portions have been contributed as accepted patches.

External links[]


Retrieved from ""