Cadence Design Systems

From Wikipedia, the free encyclopedia
Cadence Design Systems, Inc.
TypePublic
IndustryComputer Software
Founded1988; 33 years ago (1988)
HeadquartersSan Jose, California, United States
Key people
Lip-Bu Tan, CEO; Anirudh Devgan, President
RevenueIncrease $2.683 billion USD (2020)
Increase $591 million USD (2020)
Number of employees
8,900 (October 2020)
Websitecadence.com

Cadence Design Systems, Inc. (stylized as cādence), headquartered in San Jose, California,[1] is an American multinational computational software company, founded in 1988 by the merger of SDA Systems and ECAD, Inc. The company produces software, hardware and silicon structures for designing integrated circuits, systems on chips (SoCs) and printed circuit boards.[2]

History[]

Origins[]

Cadence Design Systems began as an electronic design automation (EDA) company, formed by the 1988 merger of Solomon Design Automation (SDA), co-founded in 1983 by Richard Newton, Alberto Sangiovanni-Vincentelli and James Solomon, and ECAD, a public company co-founded by Glen Antle and Paul Huang in 1982. SDA's CEO Joseph Costello was appointed as CEO of the newly combined company.[3]

Executive Leadership[]

Following the resignation of Cadence's original CEO Joe Costello in 1997, Jack Harding was appointed CEO.[4] Ray Bingham was named CEO in 1999.[5] In 2004, Mike Fister became Cadence's new CEO.[6]

In 2008, Cadence's board appointed Lip-Bu Tan as acting CEO, after the resignation of Mike Fister; Tan had served on the Cadence Board of Directors since 2004.[7] In January 2009, the Cadence's board of directors voted unanimously to confirm Lip-Bu Tan as President and CEO. Tan had been most recently CEO of Walden International, a venture capital firm, where he remains chairman of the firm.[8]

In July 2021, Cadence stated Anirudh Devgan will assume the role of president and CEO and Lip-Bu Tan will become executive chairman on December 15, 2021. Devgan joined Cadence in 2012 and was appointed president in 2017.[9][10][11]

Products[]

The company develops software, hardware and intellectual properties (IP) used to design chips,[12] systems and printed circuit boards,[13] as well as IP covering interfaces, memory, analog, SoC peripherals, data plane processing units, and verification.

Custom IC technologies[]

  • Virtuoso Platform. Tools for designing full-custom integrated circuits;[14] includes schematic entry, behavioral modeling (Verilog-AMS), circuit simulation, custom layout, physical verification, extraction and back-annotation. Used mainly for analog, mixed-signal, RF, and standard-cell designs, but also memory and FPGA designs.
  • Spectre X. In June 2019, Cadence introduced Spectre X parallel circuit simulator, so that users could distribute time- and frequency-domain simulations across hundreds of CPUs for faster runtime and speed.[15]

Digital Implementation & Sign-off Technologies[]

  • Genus, Innovus, Tempus & Voltus. In March 2020, Cadence announced that its Innovus place and route engine and optimizer were now integrated into the Genus Synthesis, with both tools using a common user interface and database;[16] Additionally, machine learning capabilities were introduced to target better performance power and area across the Innovus, Tempus Timing Sign-off, and Voltus IC Power Integrity digital flow.[17]

Other Cadence RTL to GDS II tools: Conformal Equivalence Checker, Stratus High-Level Synthesis, Joules Power Analysis, Quantus RC Extraction, Modus AutomaticTest Pattern Generation.

Verification technologies[]

  • Xcelium. Xcelium is a parallel simulator, introduced in 2017, based on a multi-core parallel computing architecture.[18]
  • JasperGold. JasperGold is a formal verification tool, initially introduced in 2003.[19] In 2019, Cadence announced new machine learning technology to automate JasperGold solver selection and parameterization to achieve faster first-time proofs; additionally to optimize regression runs.[20]
  • Perspec System Verifier. Perspec was announced in 2014, for defining and verifying system-level verification scenarios, and then creating test cases to verify the scenarios using constraint-solving technology.[21] In mid-2018, Cadence announced that Perspec supported the new Accellera Portable Test and Stimulus Standard (PSS) standard[22]
  • vManager. In 2014, Cadence announced vManager, a verification management tool for tracking verification process, including coverage, using emulation, simulation and/or formal technology as the data source(s).[23]
  • Palladium Z1. In 2015, Cadence announced the Palladium Z1 Hardware emulation platform,[24] with over 100 million gates per hour compile speed, and greater than 1 MHz execution for billion-gate designs.[25] Cadence's Palladium emulator was originally from Cadence's Quickturn acquisition in 1998.[26]
  • Protium S1/X1. FPGA prototyping platform was officially introduced in 2014.[27] In 2017, Cadence introduced the Protium S1 built on Xilinx Virtex UltraScale FPGAs.[28] In 2019, Protium X1 rack-based prototyping was introduced,[29] which Cadence claimed supported a 1.2 billion gate SoCs at around 5 MHz.[30] Palladium S1/X1 and Protium share a single compilation flow.[31]

Intellectual Property[]

Design IP targeting areas including memory / storage / high-performance interface protocols (USB or PCIe controllers and PHYs), Tensilica DSP processors for audio, vision, wireless modems and convolutional neural nets. Tensilica DSP processors IP[32] include:

PCB & Packaging technologies[]

  • Allegro Platform. Tools for co-design of integrated circuits, packages, and PCBs,[42] including the Specctra auto-router.
  • OrCAD/PSpice. Tools for smaller design teams and individual PCB designers.[42]
  • Sigrity. Tools for signal, power integrity and thermal integrity analysis and IC package design.[43]

System Analysis[]

  • Clarity. Cadence introduced Clarity in April 2019, as part of its expansion into system analysis. Clarity is a 3D field solver for electromagnetic analysis, that uses distributed adaptive meshing to partition jobs across on hundreds of cores for gains in speed and capacity.[44]
  • Celsius. In September 2019, Cadence announced Celsius, a parallel architecture thermal solver that uses finite element analysis for solid structures and computational fluid dynamics (CFD) for fluids.[45]
  • Omnis. Omni is a computational fluid dynamics, mesh generation, multi-physics simulation & optimization product, with established applications in aerospace, automotive, industrial and marine. (From NUMECA acquisition in 2021.)[46]
  • Pointwise. Pointwise computational fluid dynamics (CFD) mesh generation. (From Pointwise acquisition in 2021.)[47]

Recognition[]

In 2020, Fortune Magazine named Cadence to Fortune's "100 Best Companies to Work For list" for the sixth consecutive year.[48]

Also in 2020, Cadence was ranked #45 in PEOPLE magazine’s Companies that Care.[49]

In 2019, Investor's Business Daily ranked Cadence Design Systems #5 on its 50 Best Environmental, Social, and Governance (ESG) Companies list.[50]

In 2016, Cadence CEO Lip-Bu Tan was awarded the Dr. Morris Chang Exemplary Leadership Award by the Global Semiconductor Alliance.[51]

Acquisitions[]

Timeline[]

Year announced Company Business Value (USD) References
1989 Gateway Design Automation Simulation software $72 million [52]
1991 Valid Logic Gate-level design $198 million [53][54]
1993 Comdisco Systems Digital signal processing & communications design $13 million [55]
1997 Cooper & Chyan Technology Placement and routing $422 million [56][57]
1998 Bell Labs Design Automation Simulation and verification software $45 million [58]
1998 Quickturn Design Systems Emulation hardware $253 million [59]
1999 OrCAD Systems PCB & FPGA design $121 million [60]
2002 IBM's DFT tools & group Design-for-Test not disclosed [61]
2003 Celestry Design Dense modeling, full-chip circuit simulation not disclosed [62]
2003 Verplex Formal verification, equivalence checkers not disclosed [63]
2004 Neolinear Analog & mixed-signal layout, circuit sizing not disclosed [64]
2005 Verisity Verification automation, hardware acceleration $315 million [65]
2006 Praesagus Manufacturing variation predication $26 million [66]
2007 Invarium Lithography-modeling and pattern-synthesis not disclosed [67]
2007 Clear Shape Design for Manufacturing not disclosed [68][69]
2008 Chip Estimate IP portal, IP reuse management not disclosed [70]
2010 Denali Software Memory models, design IP, verification IP $315 million [71]
2011 Altos Design Automation Foundation IP characterization, such as memory, standard cell libraries not disclosed [72][73]
2011 Azuro Clock concurrent optimization not disclosed [74]
2012 Sigrity Signal, power & thermal integrity analysis, IC package design $80 million [75][43]
2013 Cosmic Circuits Analog & mixed-signal IP for mobile device IP, such as USB, MIPI, audio & Wi-Fi cores not disclosed [76][77]
2013 Tensilica Dataplane processing IP $380 million [78][79]
2013 Evatronix Semiconductor IP: USB, MIPI, display, & storage interfaces not disclosed [80]
2014 Forte Design Systems High-level synthesis not disclosed [81][82]
2014 Jasper Design Automation Formal analysis & verification $170 million [83][84]
2016 Rocketick Technologies Multi-core parallel simulator not disclosed [85]
2017 nusemi High-speed Serializer/Deserializer (SerDes) communications IP not disclosed [86]
2019 AWR Corporation Wireless/high-frequency radio-frequency application design software $160 million [87]
2020 Integrand Software Method of moments solver technology for analysis & extraction for simulating large IC and packages, characterization, and analysis in 3D-IC systems not disclosed [88][89]
2020 InspectAR Augmented Interfaces Maps electronics & labels circuit board schematics in real-time using augmented reality not disclosed [90][91]
2021 NUMECA CFD, mesh generation, multi-physics simulation & optimization not disclosed [46]
2021 Pointwise Computational fluid dynamics (CFD) mesh generation not disclosed [47]

The company has also acquired High-Level Design (HLD), UniCAD, CadMOS, Ambit Design Systems, Simplex, Silicon Perspective, Plato, and Get2Chip.

Related[]

Lawsuits[]

Avanti Corporation[]

From 1995 till 2002, Cadence was involved in a 6-year-long legal dispute[94] with Avanti Corporation (brand name "Avant!"), in which Cadence claimed Avanti stole Cadence code, and Avanti denied it. According to Business Week "The Avanti case is probably the most dramatic tale of white-collar crime in the history of Silicon Valley".[94] The Avanti executives eventually pleaded no contest and Cadence received several hundred million dollars in restitution. Avanti was then purchased by Synopsys, which paid $265 million more to settle the remaining claims.[95] The case resulted in a number of legal precedents.[96]

Aptix Corporation[]

Quickturn Design Systems, a company acquired by Cadence, was involved in a series of legal events with Aptix Corporation. Aptix licensed a patent to Mentor Graphics and the two companies jointly sued Quickturn over an alleged patent infringement. Amr Mohsen, CEO of Aptix, forged and tampered with legal evidence and was subsequently charged with conspiracy, perjury, and obstruction of justice. Mohsen was arrested after violating his bail agreement by attempting to flee the country. While in jail, Mohsen plotted to intimidate witnesses and kill the federal judge presiding over his case.[97] Mohsen was further charged with attempting to delay a federal trial by feigning incompetency.[98][99] Due to the overwhelming misconduct, the judge ruled the lawsuit as unenforceable and Mohsen was sentenced to 17 years in prison.[100] Mentor Graphics subsequently sued Aptix to recoup legal costs. Cadence also sued Mentor Graphics and Aptix to recover legal costs.[101]

Berkeley Design Automation[]

In 2013, Cadence sued Berkeley Design Automation (BDA) for circumvention of a license scheme to link its Analog FastSpice (AFS) simulator to Cadence's Analog Design Environment (Virtuoso ADE).[102] The lawsuit was settled less than one year later with an undisclosed payment of BDA and a multi-year agreement to support interoperability of AFS with ADE through Cadence’s official interface. BDA was bought by Mentor Graphics a few months later.[103]

Notable persons[]

See also[]

References[]

  1. ^ Investor's Business Daily CEO Lip-Bu Tan Molds Troubled Cadence Into Long-Term Leader Retrieved November 12, 2020
  2. ^ The Street How Cadence Designs the Future Retrieved July 21, 2020
  3. ^ NYTimes A Fun Chief at Cadence Is Serious Merger Man Retrieved October 4, 1991
  4. ^ WSJ Cadence's Costello Steps Down As CEO to Join Software Firm Retrieved October 21, 1997
  5. ^ EETimes Harding replaced as Cadence president Retrieved April 27, 1999
  6. ^ WSJ Intel's Michael Fister Resigns To Take Top Job at Cadence Retrieved May 13, 2004
  7. ^ IConnect007 Cadence CEO Mike Fister Resigns Retrieved October 15, 2008
  8. ^ EETimes Lip-Bu Tan named Cadence CEO Retrieved January 8, 2009
  9. ^ Guru Focus Cadence Announces Anirudh Devgan to Become CEO in December 2021 Retrieved July 26, 2021
  10. ^ Nasdaq Cadence (CDNS) Beats on Q2 Earnings & Revenues, Raises View Retrieved July 27, 2021
  11. ^ Seeking Alpha Appointment of Certain Officers Retrieved November 16, 2017
  12. ^ Design on Diagonal Path in Pursuit of a Faster Chip, John Markoff, The New York Times, February 26, 2007
  13. ^ NYTimes Cadence Acquires Software Company Retrieved April 11, 1990
  14. ^ "Course description from University of Colorado". Archived from the original on 2007-06-24. Retrieved 2007-06-10.
  15. ^ New Electronics Cadence looks to boost simulation performance with the Spectre X Simulator Retrieved June 3, 2019
  16. ^ EENews Europe Cadence’s digital full flow promises up to 3X faster throughput, better results Retrieved March 17, 2020
  17. ^ EENews Embedded Cadence digital full flow gets triple throughput boost Retrieved March 18, 2020
  18. ^ EET Asia Multi-core parallel engine powers Cadence simulator Retrieved March 1, 2017.
  19. ^ EETimes Startup promises ‘pure’ formal tool for verification Retrieved May 19, 2003
  20. ^ eeNews Europe Formal verification platform leverages AI to speed up verification throughput Retrieved May 9, 2019
  21. ^ Electronics Weekly Chip verification moves to system-level Retrieved December 11, 2014
  22. ^ Electronics Weekly EDA embraces standard to streamline IC test and verification Retrieved July 6, 2018
  23. ^ Tech Design Forum Cadence uses SQL to boost verification manager capacity Retrieved February 24, 2014
  24. ^ EE Journal State of Emulation Retrieved June 6, 2016
  25. ^ Electronic Specifier Enterprise Emulation Platform Develops Supercomputer Retrieved October 26, 2016
  26. ^ NY Times Cadence to Acquire Quickturn Design Retrieved 36137
  27. ^ EDN Cadence unveils Protium FPGA-based SoC prototyping platform Retrieved July 14, 2014
  28. ^ EET Asia Multi-core parallel engine powers Cadence simulator Retrieved March 1, 2017
  29. ^ Tech Design Forum Cadence Expands Protium for Rack-Based Prototyping Retrieved May 28, 2019
  30. ^ Electronics Weekly Cadence machine can prototype a 1bn gate SoC on FPGAs Retrieved May 29, 2019
  31. ^ EE Journal Cadence EDA Update Retrieved May 8, 2017
  32. ^ "Tensilica Customizable Processor and DSP IP". ip.cadence.com. Retrieved 2019-05-16.
  33. ^ AnandTech Cadence Announces Tensilica Q7 DSP Retrieved May 15, 2029
  34. ^ Embedded Cadence: Tensilica Vision Q7 DSP IP doubles vision and AI performance for automotive, AR/VR mobile Retrieved May 16, 2019
  35. ^ eeNews Embedded Cadence Tensilica HiFi 5 DSP for audio and voice processing Retrieved November 1, 2018
  36. ^ EE Journal Watching AI Evolve Retrieved November 12, 2018
  37. ^ Engineering.com Cadence Announces Availability of Tensilica Xtensa LX7 Processor Architecture Retrieved September 30, 2016
  38. ^ Embedded Computing Design Cadence's Tensilica ConnX B20 DSP IP Boosts Performance for Automotive Radar/Lidar and 5G Retrieved March 8, 2019
  39. ^ Electronics Weekly Cadence ups DSP throughput for 5G comms, and automotive radar and lidar Retrieved March 7, 2019
  40. ^ AnandTech Cadence Announces The Tensilica DNA 100 IP: Bigger Artificial Intelligence Retrieved September 19, 2018
  41. ^ Electronic Design Cadence's Deep-Neural-Network Processor Pushes to 3.4 TMACs/W Retrieved September 26, 2018
  42. ^ Jump up to: a b "UNIX Software and CAD tools". Carleton University. Archived from the original on 2012-04-30. Retrieved 2007-06-10.
  43. ^ Jump up to: a b EE Times Cadence Pays $80 million to buy signal integrity firm Retrieved July 3, 2012
  44. ^ McGrath, Dylan (2 April 2019). "Cadence Eyes System Analysis Market". EE Times.
  45. ^ EE News Embedded Complete Electrical-thermal co-simulation for system analysis Retrieved September 19, 2019
  46. ^ Jump up to: a b eeNews Europe Cadence buys Belgian CFD specialist Retrieved Jan 21, 2021
  47. ^ Jump up to: a b eeNews OneSpin deal leads flurry of EDA acquisitions: Page 2 of 3 Retrieved Apr 15, 2021
  48. ^ "Cadence". Fortune. Retrieved 2020-04-28.
  49. ^ Great Place to Work PEOPLE Companies that Care 2020 Retrieved November 28, 2020
  50. ^ "50 Best ESG Companies: A List Of Today's Top Stocks For Environmental, Social And Governance Values". Investor's Business Daily. 2 December 2019.
  51. ^ GSA Website Dr. Morris Chang Exemplary Leadership Award Winner Retrieved November 28, 2020
  52. ^ NY Times Cadence to Buy Gateway Design Retrieved January 20, 2005
  53. ^ UPI Cadence Design, Valid Logic Retrieved October 2, 1991
  54. ^ SemiEngineering Valid Logic Systems Retrieved November 29, 2020
  55. ^ Funding Universe Cadence Design Systems History Retrieved January 20, 2005
  56. ^ Cadence to Buy Cooper & Chyan Retrieved October 29, 1996
  57. ^ Wall Street Journal Cadence Design Systems Agrees To Purchase Cooper & Chyan Retrieved October 29, 1996
  58. ^ "Cadence 'Formally' Acquires BLDA – Cadence Design Systems buys Bell Labs Design Automation from Lucent Technologies". Electronic News. 1998. Retrieved 20 August 2021.
  59. ^ "Cadence to Acquire Quickturn Design". The New York Times. 10 December 1998. Retrieved 3 April 2015.
  60. ^ "Update: Cadence gets lift from Orcad purchase". EETimes.
  61. ^ EE Times Cadence buys IBM’s design-for-test tools business Retrieved October 1, 2002
  62. ^ EDN Cadence Acquires Celestry Retrieved January 16, 2003
  63. ^ Santarini, Michael (July 14, 2003). "Cadence buys formal tool vendor Verplex". EE Times. Retrieved December 21, 2017.
  64. ^ Times, EE (April 6, 2004). "Cadence acquires analog layout vendor Neolinear". EE Times.
  65. ^ EE Times Cadence completes acquisition of Verisity Retrieved April 7, 2005
  66. ^ "Cadence bought DFM startup Praesagus for $26 million".
  67. ^ Electronic Design Cadence Acquires Invarium To Beef Up DFM Technology Retrieved July 22, 2007
  68. ^ "Cadence Design Systems buys chip design co., Clear Shape | VentureBeat". venturebeat.com. Retrieved 2017-12-20.
  69. ^ EDN Cadence to acquire Clear Shape Retrieved January 20, 2005
  70. ^ Leopold, George (March 21, 2008). "Cadence buys IP reuse specialist Chip Estimate". EE Times. Retrieved December 20, 2017.
  71. ^ EDN Cadence to buy Denali for $315 million Retrieved May 13, 2010
  72. ^ EE Times Cadence Buys Altos Design Automation Retrieved May 10, 2011
  73. ^ Silicon Valley Business Journal Cadence acquires Altos Design Automation Retrieved May 10, 2011
  74. ^ EE Times Cadence acquires power specialist Azuro Retrieved July 12, 2011
  75. ^ Evertiq Cadence acquires Sigrity Retrieved July 3, 2012
  76. ^ EE News Europe Cosmic Circuits Acquisition helps Cadence to expand IP Portfolio Retrieved February 7, 2013
  77. ^ EE Times Cadence buys analog IP startup Retrieved February 7, 2013
  78. ^ EETimes Cadence to acquire Tensilica Retrieved March 11, 2013
  79. ^ VentureBeat Cadence buys chip design firm Tensilica for $380m Retrieved March 11, 2013
  80. ^ EE Times Cadence buying Evatronix to boost IP pool Retrieved May 7, 2013
  81. ^ New Electronics Cadence Buys Forte, Looks to build HLS offering Retrieved February 6, 2014
  82. ^ Electronics 360 The Math Backs Cadence's Forte Acquisition Retrieved February 6, 2014
  83. ^ eeNews Embedded Cadence Grows formal verification profile with Jasper DA buyout Retrieved April 23, 2014
  84. ^ Electronics 360 Cadence Keeps Consolidating with Jasper Purchase Retrieved April 22, 2014
  85. ^ EENews Analog Cadence acquires parallel logic simulation speed-up tech with Rocketick purchase Retrieved April 13, 2016
  86. ^ eeNews Analog Cadence grows high-speed communications IP offering with nusemi dea Retrieved November 2, 2017
  87. ^ Silicon Valley Business Journal Cadence Design Systems to acquire AWR Corp. from National Instruments for $160M Retrieved December 2, 2019
  88. ^ New Electronics Cadence makes Integrand acquisition Retrieved February 17, 2020
  89. ^ everythingRF Cadence Accelerates Innovation in 5G RF Communications by Acquiring Integrand Retrieved February 14, 2020
  90. ^ CBC A new chapter: Silicon Valley firm buys St. John's tech company Retrieved August 13, 2020
  91. ^ Betakit Newfoundland's InspectAR Acquired by Cadence Design Systems Retrieved August 13, 2020
  92. ^ Specialized Software Maker Is Said to Be in Buyout Talks, Andrew Ross Sorkin and Michael J. de la Merced, The New York Times, Published: June 4, 2007
  93. ^ "Cadence Withdraws Proposal to Acquire Mentor Graphics".
  94. ^ Jump up to: a b Business Week (pay wall) overview of the entire case, after the criminal trial but before the purchase by Synopsys.
  95. ^ EEDesign article about the final settlement.
  96. ^ Cadence v. Avanti: The UTSA and California Trade Secret Law Archived 2012-07-07 at archive.today, Danley, J., Berkeley Technology Law Journal, 2004, Vol 19; Part 1, pages 289-308
  97. ^ In Courts, Threats Become Alarming Fact of Life, Deborah Sontag, The New York Times, 20 March 2005
  98. ^ Odd legal saga takes an ugly turn, Richard Goering, EE Times, 02 August 2004
  99. ^ Jury finds Mohsen guilty of perjury, obstruction of justice, Dylan McGrath, EE Times, 28 February 2006
  100. ^ https://www.eetimes.com/author.asp?section_id=14&doc_id=1285369
  101. ^ https://www.eetimes.com/document.asp?doc_id=1174225
  102. ^ Cadence sues Berkeley Design Automation, Dylan McGrath, EE Times, 15 April 2013
  103. ^ Mentor buys Berkeley DA after Cadence lawsuit, Peter Clarke, eeNews Europe, 24 March 2014
  104. ^ Bailey, Brian (December 20, 2017). "Alberto Sangiovanni-Vincentelli receives EDAA Lifetime Achievement Award". EE Times.
Retrieved from ""