ASML Holding

From Wikipedia, the free encyclopedia

ASML
TypeNaamloze Vennootschap
IndustrySemiconductor industry
Founded1984; 37 years ago (1984)
HeadquartersVeldhoven, Netherlands
Key people
Peter Wennink (CEO), Gerard Kleisterlee (Chairman of the supervisory board)
ProductsPhotolithography systems for the semiconductor industry
Revenue13.98 billion (2020)[1]
3.554 billion (2020)[1]
Total assets27.267 billion (2020)[1]
Total equity13.865 billion (2020)[1]
OwnerCapital Group Companies(15.28%)[2]

Blackrock (7.69%)[2]

Baillie Gifford(4.29%)
Number of employees
>28,000 (2021), >120 nationalities[3]
Websitewww.asml.com
Footnotes / references
>12,000 patents (2019)

ASML is a Dutch multinational company specializing in development and manufacturing of photolithography systems. Currently it is the largest supplier of photolithography systems primarily for the semiconductor industry. The company manufactures machines for the production of integrated circuits.

Products[]

ASML's corporate headquarters in Veldhoven

The photolithography machines manufactured by ASML are used in the production of computer chips. In these machines, patterns are optically imaged onto a silicon wafer that is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits, and as of 2010, ASML has 67 percent of the worldwide sales of lithography machines,[4] with the competition consisting of Ultratech, Canon and Nikon.

Immersion lithography[]

Immersion lithography was developed by Burn-Jeng Lin, and ASML cooperated with TSMC since then. TSMC began commercial production of 90 nanometer semiconductor nodes using ASML immersion lithography in 2004.[5] As of 2011, their high-end TWINSCAN NXT:1950i system is used for producing features down to 32 nanometres (and outlook for 22 nm) at up to 200 wafers per hour,[6] using a water immersion lens and an argon fluoride laser that produces light at a wavelength of 193 nm. As of 2011, an average lithography machine costs 27 million euros.[7]

The relentless race to reduce the feature size of the electronic circuits on the Silicon wafers,and thus pack more electronics per unit area, requires light sources of ever shorter wavelengths in the crucial projection photolithography which is involved. ASML has developed extreme UV light sources now (2021) capable of 5nm (50 Angstrom) feature size. They are the only company in the world able to supply these extreme UV exposure systems and the sole supplier to, virtually, every major integrated circuits fabricator.

EUV lithography[]

ASML manufactures extreme ultraviolet lithography machines that produce light in the 13.3–13.7 nm wavelength range. A high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which emits EUV light.

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS SRAM memory cells with a prototype EUV lithography machine.[8] Series-produced (non-prototype) EUV machines were shipped in 2011.[7]

Other[]

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.[9]

Company[]

ASML's corporate headquarters is in Veldhoven, Netherlands. It is also the location for research, development, manufacturing and assembly. ASML has a worldwide customer base and over sixty service points in sixteen countries. The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50 and NASDAQ-100.[10]

The company (originally named ASM Lithography, current name ASML, which is an official name and not an abbreviation)[11] was founded in 1984 as a joint venture between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips. Nowadays it is a public company with only a minority of the shares owned by Philips.[12] When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.[13]

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer, in a bid to supply 193 nm scanners to Intel Corp.[14][15]

ASML is subject to cyclical industrial dynamics. For example, at the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide—mostly contract workers[16]—and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs.[17] Two and a half years later, ASML expected a record-high revenue.[18]

In July 2012, Intel announced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to 450mm wafers and further development of EUV lithography.[19][20] This deal is without exclusive rights to future ASML products and, as of July 2012, ASML is offering another 10% of the shares to other companies.[21] As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012.[22]

In November 2013, ASML paused development of 450mm lithography equipment, citing uncertain timing of chipmaker demand.[23]

In 2015, ASML suffered intellectual property theft. A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.[24]

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advanced semiconductors.[25]

In November 2020, ASML revealed that it had acquired the German optical-glassmaking firm Berliner Glas Group in order to meet the increasing need for componentry for its EUV systems.[26]

After reporting earnings in July 2021, the company said they had a near monopoly for machines used by Taiwan Semiconductor Manufacturing and Samsung Electronics to make the advanced chips. The electronics manufacturers use Extreme ultraviolet lithography equipment to make silicon chips more powerful with atomic-level precision.

References[]

  1. ^ Jump up to: a b c d https://www.asml.com/-/media/asml/files/investors/financial-results/q-results/2020/q4/financial-statements-us-gaap-q4-2020-ef7tb2.pdf
  2. ^ Jump up to: a b "Shares - Investors".
  3. ^ "About ASML - the world's supplier for the semiconductor industry".
  4. ^ ASML: Sustainability - performance and targets Archived 14 August 2018 at the Wayback Machine. ASML.com (2011)
  5. ^ "90nm Technology". TSMC. Retrieved 30 June 2019.
  6. ^ ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nm. Press release, 12 July 2011.
  7. ^ Jump up to: a b Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine. ASML press release.
  8. ^ IMEC presents functional 22 nm SRAM cells fabricated using EUV technology Archived 1 June 2010 at the Wayback Machine. IMEC press release, 22 April 2009.
  9. ^ For example, U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.
  10. ^ "Frankfurt Stock Exchange". Archived from the original on 8 February 2019. Retrieved 22 October 2015.
  11. ^ "About ASML: Questions and Answers". ASML Holding. Archived from the original on 28 July 2010. Retrieved 3 August 2010.
  12. ^ "ASML corporate history". Archived from the original on 1 August 2009. Retrieved 18 December 2008.
  13. ^ "ASML: About ASML". 20 February 2014. Retrieved 30 November 2014.
  14. ^ http://www.eetimes.com/document.asp?doc_id=1182430
  15. ^ http://www.eetimes.com/document.asp?doc_id=1181104
  16. ^ ASML Takes Action to Adjust Its Organization Due to Severe Order Slowdown Press release.
  17. ^ Chip-Equipment Firm ASML Cuts Outlook, Sets Layoffs. WSJ.com, 19 December 2008.
  18. ^ ASML Sees Record Year. The Wall Street Journal, April 2011.
  19. ^ ASML wins funds for chip technology from Intel. Reuters, 10 July 2012.
  20. ^ ASML Announces Customer Co-Investment Program Aimed at Accelerating Innovation. ASML Press release, 9 July 2012.
  21. ^ "Intel funds next-gen chipmaking, buys into ASML for $4.1 billion". Reuters. 9 July 2012.
  22. ^ [1] ASML Press release, 17 October 2012
  23. ^ "ASML 2013 Annual Report Form (20-F)" (XBRL). United States Securities and Exchange Commission. 11 February 2014. In November 2013, following our customers' decision, ASML decided to pause the development of 450mm lithography systems until customer demand and the timing related to such demand is clear.
  24. ^ Deutsch, Toby Sterling, Anthony (11 April 2019). "ASML says it suffered intellectual property theft, rejects 'Chinese' label". Reuters. Retrieved 26 March 2021.
  25. ^ Ian King, Bloomberg. “ASML to Acquire Taiwan’s Hermes Microvision for $3.1 Billion.” 15 June 2016. 16 June 2016.
  26. ^ "ASML acquisition of Berliner Glas Group completed". www.asml.com. Retrieved 26 March 2021.

External links[]

Retrieved from ""