Zen (first generation microarchitecture)

From Wikipedia, the free encyclopedia
AMD Zen
AMD ZEN.png
The logo for the Zen microarchitecture is a closed ensō
General information
LaunchedMarch 2, 2017; 4 years ago (March 2, 2017)[1]
Designed byAMD
Common manufacturer(s)
CPUID codeFamily 17h
Cache
L1 cache64 KB instruction, 32 KB data per core
L2 cache512 KB per core
L3 cache8 MB per quad-core CCX
Architecture and classification
Instruction setAMD64 (x86-64)
Physical specifications
Transistors
Cores
    • 2–4 (essential)
    • 4–8 (mainstream)
    • 8–16 (enthusiast)[3][4][5][6]
    • Up to 32 (server)[3][7]
Socket(s)
Products, models, variants
Product code name(s)
  • Summit Ridge (Desktop)
  • Whitehaven (HEDT)
  • Raven Ridge (APU/Embedded)
  • Naples (Server CPU)
  • Snowy Owl (Server APU)[9]
Brand name(s)
History
PredecessorExcavator (4th gen)
SuccessorZen+

Zen is the codename for the first iteration in a family of computer processor microarchitectures of the same name from AMD. It was first used with their Ryzen series of CPUs in February 2017.[3] The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs codenamed "Summit Ridge" reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017[10] and Zen-based APUs arrived in November 2017.[11]

Zen is a clean sheet design that differs from AMD's previous long-standing Bulldozer architecture. Zen-based processors use a 14 nm FinFET process, are reportedly more energy efficient, and can execute significantly more instructions per cycle. SMT has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache write-back. Zen processors use three different sockets: desktop and mobile Ryzen chips use the AM4 socket, bringing DDR4 support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 RAM and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the TR4 socket;[12][13] and Epyc server processors offer 128 PCI 3.0 lanes and octa-channel DDR4 using the SP3 socket.

Zen is based on a SoC design.[14] The memory, PCIe, SATA, and USB controllers are incorporated into the same chip(s) as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area.[15] This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers.

By 2020, 260 million Zen cores have already been shipped by AMD.[16]

Design[]

A highly simplified illustration of the Zen microarchitecture: a core has a total of 512 KB of L2 cache.
Ryzen 3 1200 Die Shot
Photomontage of an delidded Zen CPU with an etched die.
A delidded AMD EPYC processor used in servers; The four dies are similar to the ones used in mainstream processors. All EPYC processors contain four dies to provide structural support to the IHS (Integrated Heat Spreader).[17][18][19]
A delidded AMD Athlon 3000G APU, based on the Zen architecture. The die is physically smaller than those on mainstream Zen processors.
Die shot of an AMD Athlon 3000G

According to AMD, the main focus of Zen is on increasing per-core performance.[20][21][22] New or improved features include:[23]

  • The L1 cache has been changed from write-through to write-back, allowing for lower latency and higher bandwidth.
  • SMT (simultaneous multithreading) architecture allows for two threads per core, a departure from the CMT (clustered multi-thread) design used in the previous Bulldozer architecture. This is a feature previously offered in some IBM, Intel and Oracle processors.[24]
  • A fundamental building block for all Zen-based CPUs is the Core Complex (CCX) consisting of four cores and their associated caches. Processors with more than four cores consist of multiple CCXs connected by Infinity Fabric.[25] Processors with non-multiple-of-four core counts have some cores disabled.
  • Four ALUs, two AGUs/load–store units, and two floating-point units per core.[26]
  • Newly introduced "large" micro-operation cache.[27]
  • Each SMT core can dispatch up to six micro-ops per cycle (a combination of 6 integer micro-ops and 4 floating point micro-ops per cycle).[28][29]
  • Close to 2× faster L1 and L2 bandwidth, with total L3 cache bandwidth up 5×.
  • Clock gating.
  • Larger retire, load, and store queues.
  • Improved branch prediction using a hashed perceptron system with Indirect Target Array similar to the Bobcat microarchitecture,[30] something that has been compared to a neural network by AMD engineer Mike Clark.[31]
  • The branch predictor is decoupled from the fetch stage.
  • A dedicated stack engine for modifying the stack pointer, similar to that of Intel Haswell and Broadwell processors.[32]
  • Move elimination, a method that reduces physical data movement to reduce power consumption.
  • Binary compatibility with Intel's Skylake (excluding VT-x and private MSRs):
    • RDSEED support, a set of high-performance hardware random number generator instructions introduced in Broadwell.[33]
    • Support for the SMAP, SMEP, XSAVEC/XSAVES/XRSTORS, and CLFLUSHOPT instructions.[33]
    • ADX support.
    • SHA support.
  • CLZERO instruction for clearing a cache line.[33] Useful for handling ECC-related Machine-check exceptions.
  • PTE (page table entry) coalescing, which combines 4 kB page tables into 32 kB page size.
  • "Pure Power" (more accurate power monitoring sensors).[34]
    • Support for intel-style running average power limit (RAPL) measurement.[35]
  • Smart Prefetch.
  • Precision Boost.
  • eXtended Frequency Range (XFR), an automated overclocking feature which boosts clock speeds beyond the advertised turbo frequency.[36]

This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard, but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and power consumption over the previous generation.

— Suzanne Plummer, Zen team leader, on September 19th, 2015.[37]

The Zen architecture is built on a 14 nanometer FinFET process subcontracted to GlobalFoundries,[38] which in turn licenses its 14 nm process from Samsung Electronics.[39] This gives greater efficiency than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[40] The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature DDR4 support and a 95 W TDP (thermal design power).[40] While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.[41]

Each Zen core can decode four instructions per clock cycle and includes a micro-op cache which feeds two schedulers, one each for the integer and floating point segments.[42][43] Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units.[44] There are also improvements in the branch predictor. The L1 cache size is 64 KB for instructions per core and 32 KB for data per core. The L2 cache size 512 KB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs.

History and development[]

AMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in August 2012.[45] AMD formally revealed Zen in 2015.

The team in charge of Zen was led by Keller (who left in September 2015 after a 3-year tenure) and Zen Team Leader Suzanne Plummer.[46][47] The Chief Architect of Zen was AMD Senior Fellow Michael Clark.[48][49][50]

Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe,[8] with the release of the first Zen-based processors expected for October 2016.[51]

In November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[2][52]

In December 2015, it was rumored that Samsung may have been contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming Polaris GPU architecture.[53] This was clarified by AMD's July 2016 announcement that products had been successfully produced on Samsung's 14 nm FinFET process.[54] AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry.

In December 2019, AMD started putting out first generation Ryzen products built using the second generation Zen+ architecture.[55]

Advantages over predecessors[]

Manufacturing process[]

Processors based on Zen use 14 nm FinFET silicon.[56] These processors are reportedly produced at GlobalFoundries.[57] Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Steamroller and Excavator microarchitectures.[58][59] The immediate competition, Intel's Skylake and Kaby Lake microarchitecture, are also fabricated on 14 nm FinFET;[60] though Intel planned to begin the release of 10 nm parts later in 2017.[61] Intel was unable to reach this goal, and in 2021, only mobile chips have been produced with the 10nm process. In comparison to Intel's 14 nm FinFET, AMD claimed in February 2017 the Zen cores would be 10% smaller.[62] Intel has later announced in July 2018 that 10nm mainstream processors should not be expected before the second half of 2019.[63]

For identical designs, these die shrinks would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125 W, or ~45 W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.[64]

Performance[]

One of Zen's major goals in 2016 was to focus on performance per-core, and it was targeting a 40% improvement in instructions per cycle (IPC) over its predecessor.[65] Excavator, in comparison, offered 4–15% improvement over previous architectures.[66][67] AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator.[68] The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources.

The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage.[69] This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling.

AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked Intel Broadwell-E processor in Blender rendering[3][9] and HandBrake benchmarks.[69]

Zen supports AVX2 but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one.[70][71] This difference was corrected in Zen 2.

Memory[]

Zen supports DDR4 memory (up to eight channels)[72] and ECC.[73]

Pre-release reports stated APUs using the Zen architecture would also support High Bandwidth Memory (HBM).[74] However, the first demonstrated APU did not use HBM.[75] Previous APUs from AMD relied on shared memory for both the GPU and the CPU.

Power consumption and heat output[]

Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.

Zen also uses clock gating,[43] reducing the frequency of underutilized portions of the core to save power. This comes from AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.[69]

Enhanced security and virtualization support[]

Zen added support for AMD's Secure Memory Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor (ARM Cortex-A5) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and cold boot attacks.[76][77]

SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.

[78]

The Secure Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.[79]

Connectivity[]

Incorporating much of the southbridge into the SoC, the Zen CPU includes SATA, USB, and PCI Express NVMe links.[80][81] This can be augmented by available Socket AM4 chipsets which add connectivity options including additional SATA and USB connections, and support for AMD's Crossfire and Nvidia's SLI.[82]

AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building deep learning systems.[83][84] The 128[85] PCIe lanes per Naples CPU allows for eight Instinct cards to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40[citation needed] PCIe lanes.

Features[]

CPUs[]

CPU features table

APUs[]

APU features table

Products[]

The Zen architecture is used in the current-generation desktop Ryzen CPUs. It is also in Epyc server processors (successor of Opteron processors), and APUs.[74][unreliable source][86][87]

The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the AMD Accelerated Processing Unit type (codenamed "Raven Ridge") following in late 2017.[88] AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.[9]

In December 2016, AMD officially announced the desktop CPU line under the Ryzen brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.[89]

On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous K15h (Piledriver) architecture.[90][91] The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.[92][93]

In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.[3][9]

Desktop processors[]

Model Release date
and price
Fab Chiplets Cores
(threads)
Core config[i] Clock rate (GHz) Cache Socket PCIe lanes
(User accessible+Chipset link)
Memory support[ii] TDP
Base PBO
1–2
(≥3)
XFR[94]
1–2
L1 L2 L3
Entry-level
Ryzen 3 1200[95] July 27, 2017
US $109
GloFo
14LP
1 × CCD 4 (4) 2 × 2 3.1 3.4
(3.1)
3.45 64 KB inst.
32 KB data
per core
512 KB
per core
2 × 4 MB
per CCX
AM4 24 (20+4) DDR4-2667
dual-channel
65 W
Ryzen 3 Pro 1200 [96] July 27, 2017
OEM
3.1 3.4
(?)
?
Ryzen 3 Pro 1300 [97] July 27, 2017
OEM
3.5 3.7
(?)
?
Ryzen 3 1300X[98] July 27, 2017
US $129
3.5 3.7
(3.5)
3.9
Mainstream
Ryzen 5 1400 [99] April 11, 2017
US $169
GloFo
14LP
1 × CCD 4 (8) 2 × 2 3.2 3.4
(3.4)
3.45 64 KB inst.
32 KB data
per core
512 KB
per core
2 × 4 MB
per CCX
AM4 24 (20+4) DDR4-2667
dual-channel
65 W
Ryzen 5 Pro 1500 [100] April 11, 2017
OEM
3.5 3.7
(?)
? 2 × 8 MB
per CCX
Ryzen 5 1500X[101] April 11, 2017
US $189
3.5 3.7
(3.6)
3.9
Ryzen 5 1600 [102] April 11, 2017
US $219
6 (12) 2 × 3 3.2 3.6
(3.4)
3.7
Ryzen 5 Pro 1600 [103] April 11, 2017
OEM
3.2 3.6
(?)
?
Ryzen 5 1600X [104] April 11, 2017
US $249
3.6 4.0
(3.7)
4.1 95 W
Performance
Ryzen 7 1700 [105] March 2, 2017
US $329
GloFo
14LP
1 × CCD 8 (16) 2 × 4 3.0 3.7
(3.2)
3.75 64 KB inst.
32 KB data
per core
512 KB
per core
2 × 8 MB
per CCX
AM4 24 (20+4) DDR4-2667
dual-channel
65 W
Ryzen 7 Pro 1700 [106] March 2, 2017
OEM
3.4 3.8
(?)
?
Ryzen 7 1700X [107] March 2, 2017
US $399
3.4 3.8
(3.5)
3.9 95 W
Ryzen 7 1800X [108] March 2, 2017
US $499
3.6 4.0
(3.7)
4.1
High-end desktop (HEDT)
Ryzen Threadripper 1900X [109] August 31, 2017
US $549
GloFo
14LP
2 × CCD[iii] 8 (16) 2 × 4 3.8 4.0
(3.9)
4.2 64 KB inst.
32 KB data
per core
512 KB
per core
2 × 8 MB
per CCX
TR4 64 (60+4) DDR4-2667
quad-channel
180 W
Ryzen Threadripper 1920X [110] August 10, 2017
US $799
12 (24) 4 × 3 3.5 4.0 4.2 4 × 8 MB
per CCX
Ryzen Threadripper 1950X [111] August 10, 2017
US $999
16 (32) 4 × 4 3.4 4.0
(3.7)
4.2
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Official Support per AMD. CPU's are unlocked for different memory speeds.
  3. ^ Processor package actually contains two additional inactive dies to provide structural support to the integrated heat spreader.
Ryzen 5 1600 CPU on a motherboard
Threadripper 1950X TR4 in socket

Desktop APUs[]

Ryzen APUs are identified by either the G or GE suffix in their name.

Die shot of an AMD 2200G APU
Model Release Date
& Price
Fab CPU GPU Socket PCIe lanes DDR4
Memory
support
TDP
(W)
Cores
(threads)
Clock rate (GHz) Cache Model Config[i] Clock
(GHz)
Processing
power
(GFLOPS)[ii]
Base Boost L1 L2 L3
Athlon 200GE[112] September 6, 2018
US $55
GloFo
14LP
2 (4) 3.2 N/A 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Vega 3 192:12:4
3 CU
1.0 384 AM4 16 (8+4+4) 2667
dual-channel
35
Athlon Pro 200GE[113] September 6, 2018
OEM
Athlon 220GE[114] December 21, 2018
US $65
3.4
Athlon 240GE[115] December 21, 2018
US $75
3.5
Athlon 3000G[116] November 19, 2019
US $49
1.1 424.4
Athlon 300GE[117] July 7, 2019
OEM
3.4
Athlon Silver 3050GE[118] July 21, 2020
OEM
Ryzen 3 2200GE[119] April 19, 2018
OEM
4 (4) 3.2 3.6 Vega 8 512:32:16
8 CU
1126 2933
dual-channel
Ryzen 3 Pro 2200GE[120] May 10, 2018
OEM
Ryzen 3 2200G February 12, 2018
US $99
3.5 3.7 45–
65
Ryzen 3 Pro 2200G[121] May 10, 2018
OEM
Ryzen 5 2400GE[122] April 19, 2018
OEM
4 (8) 3.2 3.8 RX Vega 11 704:44:16 1.25 1760 35
Ryzen 5 Pro 2400GE[123] May 10, 2018
OEM
Ryzen 5 2400G[124] February 12, 2018[125][126]
US $169
3.6 3.9 45–
65
Ryzen 5 Pro 2400G[127] May 10, 2018
OEM
  1. ^ Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  2. ^ Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.


Mobile APUs[]

Model Release
date
Fab CPU GPU Socket PCIe lanes Memory support TDP
Cores
(threads)
Clock rate (GHz) Cache Model Config[i] Clock Processing
power
(GFLOPS)[ii]
Base Boost L1 L2 L3
Athlon Pro 200U [128] 2019 GloFo
14LP
2 (4) 2.3 3.2 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Vega 3 192:12:4
3 CU
1000 MHz 384 FP5 12 (8+4) DDR4-2400
dual-channel
12–25 W
Athlon 300U [129] January 6, 2019 2.4 3.3
Ryzen 3 2200U [130] January 8, 2018 2.5 3.4 1100 MHz 422.4
Ryzen 3 3200U [131] January 6, 2019 2.6 3.5 1200 MHz 460.8
Ryzen 3 2300U [132] January 8, 2018 4 (4) 2.0 3.4 Vega 6 384:24:8
6 CU
1100 MHz 844.8
Ryzen 3 Pro 2300U [133] May 15, 2018
Ryzen 5 2500U [134] October 26, 2017 4 (8) 3.6 Vega 8 512:32:16
8 CU
1126.4
Ryzen 5 Pro 2500U [135] May 15, 2018
Ryzen 5 2600H [136] September 10, 2018 3.2 DDR4-3200
dual-channel
35–54 W
Ryzen 7 2700U [137] October 26, 2017 2.2 3.8 Vega 10 640:40:16
10 CU
1300 MHz 1664 DDR4-2400
dual-channel
12–25 W
Ryzen 7 Pro 2700U [138] May 15, 2018
Ryzen 7 2800H [139] September 10, 2018 3.3 Vega 11 704:44:16
11 CU
1830.4 DDR4-3200
dual-channel
35–54 W
  1. ^ Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  2. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.


Embedded processors[]

In February 2018, AMD announced the V1000 series of embedded Zen+Vega APUs with four SKUs.[140]

Model Release
date
Fab CPU GPU DDR4
Memory
support
Ethernet TDP Junction
temperature
(°C)
Cores
(threads)
Clock rate (GHz) Cache Model Config[i] Clock
(GHz)
Processing
power
(GFLOPS)[ii]
Base Boost L1 L2 L3
V1500B[141] December 2018 GloFo
14LP
4 (8) 2.2 N/A 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB N/A 2400
dual-channel
2× 10GbE 12–25 W 0–105
V1780B[141] 3.35 3.6 3200
dual-channel
35–54 W
V1202B[141] February 2018 2 (4) 2.3 3.2 RX Vega 3 192:12:16
3 CU
1.0 384 2400
dual-channel
12–25 W
V1404I[141] December 2018 4 (8) 2.0 3.6 RX Vega 8 512:32:16
8 CU
1.1 1126.4 −40 – 105
V1605B[141] February 2018 0–105
V1756B[141] 3.25 1.3 1331.2 3200
dual-channel
35–54 W
V1807B[141] 3.35 3.8 RX Vega 11 704:44:16
11 CU
1830.4
  1. ^ Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  2. ^ Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.


Server processors[]

Epyc

AMD announced in March 2017 that it would release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform include 1- and 2-socket systems. The CPUs in multi-processor configurations communicate via AMD's Infinity Fabric.[142] Each chip supports eight channels of memory and 128 PCIe 3.0 lanes, of which 64 lanes are used for CPU-to-CPU communication through Infinity Fabric when installed in a dual-processor configuration.[143] AMD officially revealed Naples under the brand name Epyc in May 2017.[144]

On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas.[145]

Model Release date
and price
Fab Chiplets Cores
(threads)
Core Config[i] Clock rate (GHz) Cache Socket &
configuration
PCIe
Lanes
Memory
support
TDP
Base Boost L1 L2 L3
All-core Max
EPYC 7351P[146] [147][148] June 2017[149]
US $750
14 nm 4 × CCD 16 (32) 8 × 2 2.4 2.9 64 KB inst.
32 KB data
per core
512 KB
per core
64 MB
8 MB per CCX
SP3
1P
128 DDR4-2666
8 channels
155/170 W
EPYC 7401P[146] [147][148] June 2017[149]
US $1075
24 (48) 8 × 3 2.0 2.8 3.0
EPYC 7551P[146][147][148] June 2017[149]
US $2100
32 (64) 8 × 4 2.55 180 W
EPYC 7251[146][147][148] June 2017[149]
US $475
8 (16) 8 × 1 2.1 2.9 32 MB
8 MB per CCX
SP3
2P
DDR4-2400
8 channels
120 W
EPYC 7261[150] Mid 2018
US $700+
2.5 64 MB
8 MB per CCX
DDR4-2666
8 channels
155/170 W
EPYC 7281[146][147][148] June 2017[149]
US $650
16 (32) 8 × 2 2.1 2.7 32 MB
8 MB per CCX
EPYC 7301[146][147][148] June 2017[149]
US $800+
2.2 64 MB
8 MB per CCX
EPYC 7351[146][147][148] June 2017[149]
US $1100+
2.4 2.9 2.9
EPYC 7371[151] Late 2018
US $1550+
3.1 3.6 3.8 180 W
EPYC 7401[146][147][148] June 2017[149]
US $1850
24 (48) 8 × 3 2.0 2.8 3.0 155/170 W
EPYC 7451[146][147][148] June 2017[149]
US $2400+
2.3 2.9 3.2 180 W
EPYC 7501[146][147][148] June 2017[149]
US $3400
32 (64) 8 × 4 2.0 2.6 3.0 155/170 W
EPYC 7551[146][147][148] June 2017[149]
US $3400+
2.55 180 W
EPYC 7571 Late 2018
N/A
2.2 ? 200 W?
EPYC 7601[146][147][148] June 2017[149]
US $4200
2.7 3.2 180 W
  1. ^ Core Complexes (CCX) × cores per CCX


Embedded Server processors[]

In February 2018, AMD also announced the EPYC 3000 series of embedded Zen CPUs.[152]

Model Release
date
Fab Chiplets Cores
(threads)
Core Config[i] Clock rate (GHz) Cache Socket PCIe
lanes
Ethernet Memory
support
TDP Junction temperature (°C)
Base Boost L1 L2 L3
All-core Max
EPYC 3101 February 2018 14 nm 1 x CCD 4 (4) 1 × 4 2.1 2.9 2.9 64 KB inst.
32 KB data
per core
512 KB
per core
8 MB SP4r2 32 4 × 10GbE DDR4-2666
dual-channel
35 W 0-95
EPYC 3151 4 (8) 2 × 2 2.7 2.9 2.9 16 MB
8 MB per CCX
45 W
EPYC 3201 8 (8) 2 × 4 1.5 3.1 3.1 DDR4-2133
dual-channel
30 W
EPYC 3251 8 (16) 2.5 3.1 3.1 DDR4-2666
dual-channel
55 W 0-105
EPYC 3255 Un­known 25-55 W -40-105
EPYC 3301 February 2018 2 x CCD 12 (12) 4 × 3 2.0 2.15 3.0 32 MB
8 MB per CCX
64 8 × 10GbE DDR4-2666
quad-channel
65 W 0-95
EPYC 3351 12 (24) 1.9 2.75 3.0 SP4 60-80 W 0-105
EPYC 3401 16 (16) 4 × 4 1.85 2.25 3.0 SP4r2 85 W
EPYC 3451 16 (32) 2.15 2.45 3.0 SP4 80-100 W
  1. ^ Core Complexes (CCX) × cores per CCX


See also[]

References[]

  1. ^ "AMD Ryzen™ 7 Desktop Processors Featuring Record-Breaking Overclocking Performance Available Worldwide Today" (Press release). Sunnyvale, California: Advanced Micro Devices, Inc. 2017-03-02. Retrieved 2020-11-07.
  2. ^ Jump up to: a b c "GlobalFoundries announces 14nm validation with AMD Zen silicon". ExtremeTech.
  3. ^ Jump up to: a b c d e Anthony, Sebastian (18 August 2016). "AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017". Ars Technica. Retrieved 18 August 2016.
  4. ^ "Details of AMD Zen 16-core x86 APU emerge".
  5. ^ "AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3". TechPowerUp.
  6. ^ Kampman, Jeff (16 May 2017). "Ryzen Threadripper CPUs will offer 16 cores and 32 threads". Tech Report. Retrieved 16 May 2017.
  7. ^ Kennedy, Patrick (16 May 2017). "AMD EPYC New Details on the Emerging Server Platform". Serve the Home. Retrieved 16 May 2017.
  8. ^ Jump up to: a b Ryan Smith (6 May 2015). "AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out". AnandTech.
  9. ^ Jump up to: a b c d Kampman, Jeff (18 August 2016). "AMD gives us our first real moment of Zen". Tech Report. Retrieved 18 August 2016.
  10. ^ Cutress, Ian. "AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis". AnandTech. Retrieved 8 August 2017.
  11. ^ "HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store". store.hp.com.
  12. ^ Brad Chacos (8 January 2016). "AMD Zen-based CPUs and APUs will unify around Socket AM4". PCWorld.
  13. ^ "Ryzen™ Threadripper™ Processors | AMD". www.amd.com. Retrieved 2017-09-29.
  14. ^ "How AMD's powerful Zen chip flouts the SoC stereotype". PCWorld. Retrieved 2017-03-08.
  15. ^ Cutress, Ian (18 August 2016). "Early AMD Zen Server CPU and Motherboard Details". Anandtech. Retrieved 22 March 2017.
  16. ^ AMD Shipped 260 Million Zen Cores by 2020. AnandTech.
  17. ^ https://www.extremetech.com/computing/253416-amd-explains-threadripper-cpus-four-die-hood
  18. ^ https://www.guru3d.com/news-story/amd-ryzen-threadripper-does-have-four-8-core-dies-(32-cores).html
  19. ^ https://www.pcgamer.com/overclocker-delids-an-amd-ryzen-threadripper-chip-and-finds-epyc-inside/
  20. ^ "Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot". techspot.com. Retrieved 2015-05-12.
  21. ^ "AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1". techreport.com. Retrieved 2015-05-12.
  22. ^ Anton Shilov (11 September 2014). "AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be". KitGuru. Retrieved 1 February 2015.
  23. ^ Software Optimization Guide for AMD Family 17h Processors / AMD, June 2017
  24. ^ "AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator". Archived from the original on 2016-03-04. Retrieved 2016-01-11.
  25. ^ Ian Cutress (2017-03-02). "The Core Complex, Caches, and Fabric". Retrieved 2017-06-21.
  26. ^ Clark, Mike. "A New x86 Core Architecture for the Next Generation of Computing" (PDF). AMD. p. 7. Archived (PDF) from the original on 2016-11-26.
  27. ^ Cutress, Ian. "AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed".
  28. ^ Mujtaba, Hassan. "AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design". WCCFtech. Retrieved 23 August 2016.
  29. ^ Walrath, Josh. "AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective". PC Perspective. Archived from the original on 12 October 2017. Retrieved 13 March 2017.
  30. ^ Jiménez, Daniel. "Strided Sampling Hashed Perceptron Predictor" (PDF). Texas A&M University.
  31. ^ Williams, Chris. "'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain". The Register.
  32. ^ Fog, Agner. "The microarchitecture of Intel, AMD and VIA CPUs" (PDF). Technical University of Denmark.
  33. ^ Jump up to: a b c "AMD Starts Linux Enablement On Next-Gen "Zen" Architecture". Phoronix. 17 March 2015. Retrieved 17 March 2015.
  34. ^ "AMD Takes Computing to a New Horizon with Ryzen™ Processors". www.amd.com.
  35. ^ "Linux support for Power Measurement Interfaces". web.eece.maine.edu.
  36. ^ Chen, Sam (24 June 2017). "XFR". Custom PC Review. Retrieved 26 July 2017.
  37. ^ Kirk Ladendorf - For the American-Statesman. "Amid challenges, chipmaker AMD sees a way forward".
  38. ^ Lilly, Paul (23 July 2016), "AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017", hothardware.com, archived from the original on 21 April 2019, retrieved 19 August 2016, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
  39. ^ Schor, David (2018-07-22). "VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP". WikiChip Fuse. Retrieved 2019-05-31.
  40. ^ Jump up to: a b "14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading". Softpedia. 28 January 2015. Retrieved 31 January 2015.
  41. ^ "AMD's next gen CPU Zen". Shattered.Media. May 2015. Archived from the original on 2015-11-17.
  42. ^ "AMD's Zen core (family 17h) to have ten pipelines per core".
  43. ^ Jump up to: a b Cutress, Ian (18 August 2016). "AMD Zen Microarchitecture". Anandtech. Retrieved 18 August 2016.
  44. ^ AMD, "Software Optimization Guider for AMD Family 17h Processors"
  45. ^ Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 May 2014.
  46. ^ "Jim Keller Leaves AMD". Anand tech. Retrieved 2015-10-14.
  47. ^ Ladendorf, Kirk. "Amid challenges, chipmaker AMD sees a way forward". Austin American-Statesman. Retrieved 2020-01-04.
  48. ^ Merritt, Rick (24 August 2016). "AMD Reveals Zen of X86". EE Times. Retrieved 3 March 2017.
  49. ^ TAKAHASHI, Dean (24 August 2016). "How AMD designed what could be its most competitive processors in a decade". VentureBeat. Retrieved 3 March 2017.
  50. ^ Wong, Adrian (18 April 2017). "Joe Macri : The Disruptive Nature of AMD Ryzen". TechArp. Retrieved 20 April 2017.
  51. ^ "AMD set to release first 'Zen'-based microprocessors in late 2016 – document". KitGuru.net. 12 June 2015. Retrieved 30 August 2015.
  52. ^ "OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found".
  53. ^ "Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node", Tech power up.
  54. ^ Moorhead, Patrick (25 July 2016). "AMD Officially Diversifies 14nm Manufacturing With Samsung". Forbes. Retrieved 26 July 2016.
  55. ^ "First-Gen AMD Ryzen CPUs are Appearing with 12nm Zen+ Architecture". 2019-12-22.
  56. ^ "AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support". ExtremeTech.
  57. ^ Rulison, Larry (22 August 2016). "Reports: Chip made by GlobalFoundries beats Intel". Times Union. Retrieved 22 August 2016.
  58. ^ "AMD: We have taped out our first FinFET products". KitGuru.
  59. ^ "CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell". The Inquirer. Archived from the original on January 9, 2014.CS1 maint: unfit URL (link)
  60. ^ "Intel Kaby Lake to compete against AMD Zen at end of 2016". 2016-03-02. Retrieved 2016-03-07. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.
  61. ^ Edward Jones (21 Oct 2016). "AMD Zen: A serious challenge to Intel?". Channel Pro.
  62. ^ Manion, Wayne (8 February 2017). "AMD touts Zen die size advantage at ISSCC". Tech Report. Retrieved 10 February 2017.
  63. ^ https://arstechnica.com/gadgets/2018/07/intel-says-not-to-expect-mainstream-10nm-chips-until-2h19/
  64. ^ "Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'". Anandtech. Retrieved 23 March 2016.
  65. ^ Smith, Ryan (31 May 2016). "AMD Briefly Shows Off Zen "Summit Ridge" Silicon". Retrieved 7 June 2016.
  66. ^ "AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016". 7 May 2015.
  67. ^ Ian Cutress (June 2, 2015). "IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates". Anandtech.
  68. ^ Cutress, Ian (22 February 2017). "AMD Launches Zen". Anandtech.com. Archived from the original on 27 February 2017. Retrieved 22 February 2017.
  69. ^ Jump up to: a b c Kampman, Jeff (13 December 2016). "AMD crests Summit Ridge with Ryzen CPUs". TechReport. Retrieved 13 December 2016.
  70. ^ Cutress, Ian. "AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism".
  71. ^ Leadbetter, Richard (22 February 2017). "In Theory: How AMD's Ryzen will disrupt the gaming CPU market".
  72. ^ "AMD's Zen processors to feature up to 32 cores, 8-channel DDR4". TechSpot.
  73. ^ MAC (30 March 2017). "ECC Memory & AMD's Ryzen - A Deep Dive". Hardware Canucks. Retrieved 14 July 2017.
  74. ^ Jump up to: a b "Zen-based APU with HBM to be AMD Carrizo successor".
  75. ^ Shrout, Ryan (30 May 2017). "Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics". PC Perspective. Retrieved 2 June 2017.
  76. ^ "[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)".
  77. ^ "AMD MEMORY ENCRYPTION WHITEPAPER" (PDF).
  78. ^ "LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption".
  79. ^ "AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016" (PDF).
  80. ^ L, Alex; Walrath, Josh (12 January 2017). "Podcast #432 - Kaby Lake, Vega, CES Review". PC Perspective. Retrieved 13 January 2017.
  81. ^ Mah Ung, Gordon (28 September 2016). "How AMD's powerful Zen chip flouts the SoC stereotype". PC World. Retrieved 13 January 2017.
  82. ^ Justin, Michael; Sexton, Allen (3 March 2017). "AMD's AM4 Ryzen Chipsets". Tom's Hardware. Retrieved 3 March 2017.
  83. ^ Smith, Ryan (12 December 2016). "AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017". Anandtech. Retrieved 12 December 2016.
  84. ^ Shrout, Ryan (12 December 2016). "Radeon Instinct Machine Learning GPUs include Vega, Preview Performance". PC Per. Retrieved 12 December 2016.
  85. ^ Mujtaba, Hassan (2017-03-07). "AMD Naples High-Performance Server Chips With 32 Cores, 64 Threads Detailed". Wccftech. Retrieved 2018-11-24.
  86. ^ "AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards". Tech Times.
  87. ^ "32-core AMD Opteron to feature quad-die MCM design". KitGuru.
  88. ^ Mark Mantel (7 February 2017). "CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht". PC Games Hardware (in German). Retrieved 7 February 2017.
  89. ^ Larabel, Michael (13 December 2016). "AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet". Phoronix. Retrieved 13 December 2016.
  90. ^ "Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?". Tom's Hardware. 2012-10-22. Retrieved 2017-03-12.
  91. ^ "AMD Ryzen 7 1800X: Power Consumption And Temperatures". Tom's Hardware. 2017-03-02. Retrieved 2017-03-12.
  92. ^ "AMD Ryzen 7 1800X and AM4 Platform Review". bit-tech. Retrieved 2017-03-12.
  93. ^ "The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions". www.pcper.com. Archived from the original on 2017-07-03. Retrieved 2017-03-12.
  94. ^ Chen, Sam (2020-02-13). "What is XFR? (AMD)". Gear Primer. Retrieved 2020-11-06.
  95. ^ "AMD Ryzen 3 1200 Processor". AMD.
  96. ^ "AMD Ryzen 3 1200 Processor". AMD.
  97. ^ "AMD Ryzen 3 1200 Processor". AMD.
  98. ^ "AMD Ryzen 3 1300X Processor". AMD.
  99. ^ "AMD Ryzen 5 1400 Processor". AMD.
  100. ^ "AMD Ryzen 5 PRO 1500 Processor". AMD.
  101. ^ "AMD Ryzen 5 1500X Processor". AMD.
  102. ^ "AMD Ryzen 5 1600 Processor". AMD.
  103. ^ "AMD Ryzen 5 PRO 1600 Processor". AMD.
  104. ^ "AMD Ryzen 5 1600X Processor". AMD.
  105. ^ "AMD Ryzen 7 1700 Processor". AMD.
  106. ^ "AMD Ryzen 7 PRO 1700 Processor". AMD.
  107. ^ "AMD Ryzen 7 1700X Processor". AMD.
  108. ^ "AMD Ryzen 7 1800X Processor". AMD.
  109. ^ "AMD Ryzen Threadripper 1900X Processor". AMD.
  110. ^ "AMD Ryzen Threadripper 1920X Processor". AMD.
  111. ^ "AMD Ryzen Threadripper 1950X Processor". AMD.
  112. ^ "AMD Athlon 200GE Processor with Radeon Vega 3 Graphics". AMD.
  113. ^ "AMD Athlon PRO 200GE APU". AMD.
  114. ^ "AMD Athlon 220GE Processor with Radeon Vega 3 Graphics".
  115. ^ "AMD Athlon 240GE Processor with Radeon Vega 3 Graphics". AMD.
  116. ^ "AMD Athlon 3000G Processor with Radeon Graphics". AMD.
  117. ^ "AMD Athlon 300GE".
  118. ^ "AMD Athlon Silver 3050GE".
  119. ^ "AMD Ryzen 3 2200GE with Radeon Vega 8 Graphics". AMD.
  120. ^ "AMD Ryzen 3 PRO 2200GE Processor with Radeon Vega 8 Graphics".
  121. ^ "AMD Ryzen 3 PRO 2200G Processor with Radeon Vega 8 Graphics". www.amd.com.
  122. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  123. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  124. ^ "AMD Ryzen 5 2400G". Retrieved 2018-01-19.
  125. ^ "AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12". TechSpot. Retrieved 2019-06-10.
  126. ^ Peter Bright - Jan 8, 2018 9:50 pm UTC (2018-01-08). "AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April". Ars Technica. Retrieved 2019-06-10.
  127. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  128. ^ "AMD Athlon™ PRO 200U Mobile Processor with Radeon™ Vega 3 Graphics". AMD.
  129. ^ "AMD Athlon™ 300U Mobile Processor with Radeon™ Vega 3 Graphics". AMD.
  130. ^ "AMD Ryzen™ 3 2200U". AMD.
  131. ^ "AMD Ryzen™ 3 3200U Mobile Processor with Radeon™ Vega 3 Graphics". AMD.
  132. ^ "AMD Ryzen™ 3 2300U". AMD.
  133. ^ "AMD Ryzen™ 3 PRO 2300U". AMD.
  134. ^ "AMD Ryzen™ 5 2500U". AMD.
  135. ^ "AMD Ryzen™ 5 PRO 2500U". AMD.
  136. ^ "AMD Ryzen™ 5 2600H Mobile Processor with Radeon™ Vega 8 Graphics".
  137. ^ "AMD Ryzen™ 7 2700U". AMD.
  138. ^ "AMD Ryzen™ 7 PRO 2700U". AMD.
  139. ^ "AMD Ryzen™ 7 2800H Mobile Processor with Radeon™ RX Vega 11 Graphics". AMD.
  140. ^ Alcorn, Paul (21 February 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". Tomshardware.com. Retrieved 5 April 2018.
  141. ^ Jump up to: a b c d e f g "Embedded Processor Specifications". AMD.
  142. ^ Kampman, Jeff (7 March 2017). "AMD's Naples platform prepares to take Zen into the datacenter". Tech Report. Retrieved 7 March 2017.
  143. ^ Cutress, Ian (7 March 2017). "AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2". Anandtech. Retrieved 7 March 2017.
  144. ^ Kampman, Jeff (16 May 2017). "AMD's Naples datacenter CPUs will make an Epyc splash". Tech Report. Retrieved 16 May 2017.
  145. ^ "AMD launches broad Epyc server processor line with up to 32 cores per chip". VentureBeat. 2017-06-20. Retrieved 2017-08-08.
  146. ^ Jump up to: a b c d e f g h i j k l "AMD EPYC 7000 Series Processors: Leading Performance for the Cloud Era" (PDF). Advanced Micro Devices, Inc. August 2018. p. 2.
  147. ^ Jump up to: a b c d e f g h i j k l Cutress, Ian (20 June 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". Anand Tech. Retrieved 21 June 2017.
  148. ^ Jump up to: a b c d e f g h i j k l Cutress, Ian (20 June 2017). "AMD EPYC Launch Event Live Blog". Anand Tech. Retrieved 21 June 2017.
  149. ^ Jump up to: a b c d e f g h i j k l Kennedy, Patrick (16 May 2017). "AMD EPYC New Details on the Emerging Server Platform". Serve The Home. Retrieved 16 May 2017.
  150. ^ "AMD EPYC 7261 | AMD". www.amd.com. Retrieved 2019-01-20.
  151. ^ "AMD PS7371BEVGPAF EPYC 7371 3.1GHz 16-Core". www.gamepc.com. Retrieved 2019-01-20.
  152. ^ Alcorn, Paul (21 February 2018). "AMD Launches Ryzen Embedded V1000, EPYC Embedded 3000 Processors". tom's HARDWARE. Retrieved 5 April 2018.

External links[]

Retrieved from ""