65 nm process

From Wikipedia, the free encyclopedia

The 65 nm process is advanced lithographic node used in volume CMOS (MOSFET) semiconductor fabrication. Printed linewidths (i.e. transistor gate lengths) can reach as low as 25 nm on a nominally 65 nm process, while the pitch between two lines may be greater than 130 nm.[1] For comparison, cellular ribosomes are about 20 nm end-to-end. A crystal of bulk silicon has a lattice constant of 0.543 nm, so such transistors are on the order of 100 atoms across. Toshiba and Sony announced the 65nm process in 2002,[2] before Fujitsu and Toshiba began production in 2004,[3] and then TSMC began production in 2005.[4] By September 2007, Intel, AMD, IBM, UMC and Chartered were also producing 65 nm chips.

While feature sizes may be drawn as 65 nm or less, the wavelengths of light used for lithography are 193 nm and 248 nm. Fabrication of sub-wavelength features requires special imaging technologies, such as optical proximity correction and phase-shifting masks. The cost of these techniques adds substantially to the cost of manufacturing sub-wavelength semiconductor products, with the cost increasing exponentially with each advancing technology node. Furthermore, these costs are multiplied by an increasing number of mask layers that must be printed at the minimum pitch, and the reduction in yield from printing so many layers at the cutting edge of the technology. For new integrated-circuit designs, this factors into the costs of prototyping and production.

Gate thickness, another important dimension, is reduced to as little as 1.2 nm (Intel). Only a few atoms insulate the "switch" part of the transistor, causing charge to flow through it. This undesired effect, leakage, is caused by quantum tunneling. The new chemistry of high-κ gate dielectrics must be combined with existing techniques, including substrate bias and multiple threshold voltages, to prevent leakage from prohibitively consuming power.

IEDM papers from Intel in 2002, 2004, and 2005 illustrate the industry trend that the transistor sizes can no longer scale along with the rest of the feature dimensions (gate width only changed from 220 nm to 210 nm going from 90 nm to 65 nm technologies). However, the interconnects (metal and poly pitch) continue to shrink, thus reducing chip area and chip cost, as well as shortening the distance between transistors, leading to higher-performance devices of greater complexity when compared with earlier nodes.

Example: Fujitsu 65 nm process[]

  • Gate length: 30 nm (high-performance) to 50 nm (low-power)
  • Core voltage: 1.0 V
  • 11 Cu interconnect layers using nano-clustering silica as ultralow κ dielectric (κ=2.25)
  • Metal 1 pitch: 180 nm
  • Nickel silicide source/drain
  • Gate oxide thickness: 1.9 nm (n), 2.1 nm (p)

There are actually two versions of the process: CS200, focusing on high performance, and CS200A, focusing on low power.

[5][6]

Processors using 65 nm manufacturing technology[]

  • Sony/Toshiba EE+GS (PStwo)[7] - 2005
  • Intel Core – 2006-01-05
  • Intel Pentium 4 (Cedar Mill) – 2006-01-16
  • Intel Pentium D 900-series – 2006-01-16
  • Intel Xeon (Sossaman) – 2006-03-14
  • Intel Celeron D (Cedar Mill cores) – 2006-05-28
  • Intel Core 2 – 2006-07-27
  • AMD Athlon 64 series (starting from Lima) – 2007-02-20
  • AMD Turion 64 X2 series (starting from Tyler) – 2007-05-07
  • Microsoft Xbox 360 "Falcon" CPU – 2007–09
  • NVIDIA GeForce 8800GT GPU – 2007-10-29
  • Sony/Toshiba/IBM Cell (PlayStation 3) (updated) – 2007-10-30
  • Sun UltraSPARC T2 – 2007–10
  • AMD Phenom series
  • IBM's z10
  • Microsoft Xbox 360 "Opus" CPU – 2008
  • TI OMAP 3 Family[8] – 2008-02
  • VIA Nano – 2008-05
  • AMD Turion Ultra – 2008-06[9]
  • Microsoft Xbox 360 "Jasper" CPU – 2008–10
  • Loongson – 2009
  • Nikon Expeed 2 – 2010
  • MCST Elbrus 4C – 2014[10]
  • SRISA 1890VM9Ya – 2016[11]

References[]

  1. ^ 2006 industry roadmap Archived September 27, 2007, at the Wayback Machine, Table 40a.
  2. ^ "Toshiba and Sony Make Major Advances in Semiconductor Process Technologies". Toshiba. 3 December 2002. Retrieved 26 June 2019.
  3. ^ Williams, Martyn (12 July 2004). "Fujitsu, Toshiba begin 65nm chip trial production". InfoWorld. Retrieved 26 June 2019.
  4. ^ "65nm Technology". TSMC. Retrieved 30 June 2019.
  5. ^ "link to press release". Archived from the original on 2011-09-27. Retrieved 2008-08-10.
  6. ^ link to presentation
  7. ^ "ソニー、65nm対応の半導体設備を導入。3年間で2,000億円の投資". pc.watch.impress.co.jp. Archived from the original on 2016-08-13.
  8. ^ http://focus.ti.com/pdfs/wtbu/ti_omap3family.pdf
  9. ^ "TG Daily – AMD preps 65 nm Turion X2 processors". Archived from the original on 2007-09-13. Retrieved 2008-03-04.
  10. ^ "Microprocessor Elbrus-4C".
  11. ^ "ФГУ ФНЦ НИИСИ РАН: Разработка СБИС".

Sources[]

Preceded by
90 nm
MOSFET manufacturing processes Succeeded by
45 nm
Retrieved from ""