2 nm process

From Wikipedia, the free encyclopedia

In semiconductor manufacturing, the 2 nm process is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the 3 nm process node. As of 2021, TSMC and Intel both plan to enter 2 nm production in 2024.[1]

Background[]

In late 2018, TSMC chairman Mark Liu predicted chip scaling would continue to 3 nm and 2 nm nodes;[2] however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond 3 nm could become viable.[3]

TSMC began research on 2 nm in 2019.[4] TSMC expected to transition from FinFET to GAAFET transistor types when moving from 3nm to 2nm.[5] As of July 2021, TSMC expects to enter 2 nm production in 2024.[1]

Intel's 2019 roadmap scheduled potentially equivalent 3 nm and 2 nm nodes for 2025 and 2027 respectively.[6] In December 2019, Intel announced plans for 1.4 nm production in 2029.[6]

In August 2020, TSMC began building a R&D lab for 2 nm technology in Hsinchu, expected to become partially operational by 2021.[7] In September 2020 (SEMICON Taiwan 2020) it was reported that TSMC Chairman Mark Liu had stated the company would build a plant for the 2 nm node at Hsinchu in Taiwan, and that it could also install production at Taichung dependent on demand.[8]

At the end of 2020, seventeen European Union countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as 2 nm, as well as designing and manufacturing custom processors, assigning up to 145 billion euro in funds.[9][10]

In May 2021, IBM announced it had produced 2 nm class transistor using three silicon layer nanosheets with a gate length of 12nm.[11][12][13]

In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their 2 nm process node called Intel 20A, with the "A" referring to angstrom, a unit equivalent to 0.1 nanometer.[14] Their new naming scheme aligned their product names to similar designations from their main competitors.[15] Intel's 20A node is projected to be their first to move from FinFET to Gate-All-Round transistors (GAAFET); Intel's version is named 'RibbonFET'.[15] Their 2021 roadmap scheduled the Intel 20A node for introduction in 2024.[15]

Beyond 2 nm[]

Intel have planned 18A (equivalent to 1.8 nm) products for 2025.[14]

Notes[]

References[]

  1. ^ Jump up to: a b "Taiwan gives TSMC green light for most advanced chip plant". Nikkei Asia. Retrieved 2021-08-24.
  2. ^ Patterson, Alan (12 Sep 2018), "TSMC: Chip Scaling Could Accelerate", www.eetimes.com, archived from the original on 24 September 2018, retrieved 23 September 2020
  3. ^ Merritt, Rick (4 March 2019), "SPIE Conference Predicts Bumpy Chip Roadmap", www.eetasia.com, archived from the original on 27 June 2019, retrieved 23 September 2020
  4. ^ Zafar, Ramish (12 June 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, archived from the original on 7 November 2020, retrieved 23 September 2020
  5. ^ "Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips", www.digitimes.com, 21 Sep 2020, archived from the original on 23 October 2020, retrieved 23 September 2020
  6. ^ Jump up to: a b Cutress, Ian, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm", www.anandtech.com, archived from the original on 2021-01-12, retrieved 2020-09-23
  7. ^ Wang, Lisa (26 Aug 2020), "TSMC developing 2nm tech at new R&D center", taipeitimes.com, archived from the original on 24 January 2021, retrieved 23 September 2020
  8. ^ Chien-Chung, Chang; Huang, Frances (23 Sep 2020), "TSMC to build 2nm wafer plant in Hsinchu", focustaiwan.tw, archived from the original on 25 October 2020, retrieved 23 September 2020
  9. ^ Dahad, Nitin (9 Dec 2020), "EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology", www.eetimes.eu, archived from the original on 10 January 2021, retrieved 9 January 2021
  10. ^ Joint declaration on processors and semiconductor technologies, EU, 7 Dec 2020, archived from the original on 11 January 2021, retrieved 9 January 2021
  11. ^ Nellis, Stephen (6 May 2021), "IBM unveils 2-nanometer chip technology for faster computing", Reuters, archived from the original on 2021-05-07, retrieved 2021-05-06
  12. ^ Johnson, Dexter (6 May 2021), "IBM Introduces the World's First 2-nm Node Chip", IEEE Spectrum, archived from the original on 7 May 2021, retrieved 7 May 2021
  13. ^ 12nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5nm" process node: [1]
  14. ^ Jump up to: a b Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved 2021-07-27.
  15. ^ Jump up to: a b c Santo, Brian (27 July 2021), "Intel Charts Manufacturing Course to 2025", www.eetimes.com

Further reading[]

Preceded by
3 nm (FinFET/GAAFET)
MOSFET semiconductor device fabrication process Succeeded by
unknown
Retrieved from ""